知识中心
导航
知识中心

IEEE 1076.1模拟和混合信号

模拟硬件描述语言(VHDL)的扩展
受欢迎程度

描述

VHDL-AMS提供扩展硬件描述语言(VHDL)的仿真模拟和混合信号系统。它是建立在IEEE Std 1076 - 1993和扩展添加为写作提供功能和模拟模拟和混合信号模型。工作开始于1989年1076.1子群是DASC下成立于1993年。它在1999年首次批准作为标准。

支持多种语言抽象级别电气和nonelectrical能源领域。模型模拟系统是集总系统可以由常微分方程和代数方程描述。语言没有指定任何特定的技术来解决方程,但它定义必须达到的结果。方程的解决方案可能包括不连续。

相互作用模型及其模拟部分的数字部分是由一个统一的模型驱动之间的时间和持续的行为。它还包括频域的支持。

VHDL-AMS引入量的概念相关的生理效应。两个量定义:在电压等影响,速度和温度等影响,通过电流、力量、热流等。这些可以指定公差用于指定如何准确的仿真需要。

系统设计师的指导VHDL-AMS:模拟、混合信号、混合技术的建模(系统硅)

硬件描述语言(VHDL)参考:计算机辅助集成电路设计包括VHDL-AMS实用指南

Baidu