中文 英语
知识中心
导航
知识中心

SystemVerilog

行业标准设计和验证语言
受欢迎程度

描述

SystemVerilog是基于Verilog的硬件描述语言(HDL)和基于Vera的硬件验证语言(HVL)的组合,附加的特性来自断言语言。除了使用单一名称和共享类似的低级语法之外,SystemVerilog仍然是不同语言的集合。

该语言的HDL部分来自IEEE 1364-2001,现在技术上已弃用,取而代之的是SystemVerilog (IEEE 1800)。它扩展了VHDL的一些特性,如接口。添加了新的应用程序编程接口,包括直接编程接口(DPI)。

HVL本身是多种语言。主体是一种面向对象的语言,它的目标是一种受约束的随机测试模式方法。断言语言是一种声明性语言,现在本质上已经与属性规范语言(PSL)融合在一起。

SystemVerilog 3.0(最初的版本是3.0,因为他们认为最初的Verilog是1.0,1364-2001是Verilog 2.0,所以这是Verilog 3.0)在2002年6月由Accellera批准,并移交给IEEE批准。

SystemVerilog 3.1版本于2003年5月发布,3.1a版本于2004年5月发布,其中包括对验证语言和C语言集成的改进。这就是IEEE 1800-2005。

IEEE 1800-2009(2009年12月)将标准的Verilog部分提升到IEEE 1364-2005,这正式结束了未来Verilog版本的开发。最新的版本是IEEE 1800-2012,可在IEEE Get程序

由于SystemVerilog的广泛性,EDA供应商无法在一个版本中实现整个语言。为了便于使用他们各自实现的子集,他们围绕他们的子集开发了方法和类库,以帮助用户创建验证环境。这导致了过多的方法,如来自Synopsys的验证方法手册(VMM),来自Mentor的高级验证方法(AVM)等。随着时间的推移,这些逐渐融合,直到行业完成了通用验证方法(UVM)。

相关书籍
使用SystemVerilog进行逻辑设计和验证

SystemVerilog验证:Testbench语言特性学习指南

SystemVerilog断言和功能覆盖:语言、方法论和应用指南

SystemVerilog入门


相关的实体


相关技术

Baidu