中文 英语
知识中心
导航
知识中心

RTL(登记转移级别)

定义设计的数字部分的抽象
受欢迎程度

描述

寄存器传输级别(RTL)是定义设计的数字部分的抽象。它是当今用于定义电子系统的原理抽象,并且经常作为设计和验证流程中的黄金模型。RTL设计通常使用硬件描述语言(HDL)捕获,例如Verilog或VHDL。虽然这些语言能够在其他抽象级别上定义系统,但通常是这些语言的RTL语义,实际上是这些语言的一个子集,被定义为可合成的子集。这意味着可以可靠地将语言构造送入逻辑综合工具,从而创建用于所有下游实现操作的设计的门级抽象。

RTL基于同步逻辑,包含三个主要部分,即保存状态信息的寄存器,定义嵌套状态输入的组合逻辑和控制状态何时改变的时钟。

推荐阅读:
数字设计与RTL设计,VHDL和Verilog


多媒体

更优质的RTL

多媒体

7/5nm定时闭合

多媒体

建立安全验证流程

多媒体

Signoff-Compatible疾病预防控制中心

多媒体

UPF-Aware时钟域交叉

多媒体

技术讲座:FPGA RTL检查


相关技术

Baidu