中文 英语
知识中心
导航
知识中心

IEEE 1800 - systemverilog

IEEE批准的SystemVerilog版本
受欢迎程度

描述

IEEE 1800指定了用于电子系统实现和验证的高级设计语言SystemVerilog。该标准允许使用一种统一的语言,用于抽象和详细的设计规范、断言规范、覆盖范围和基于手动或自动方法的测试台验证。SystemVerilog提供了用于覆盖和断言的应用程序编程接口(api)和用于访问专有功能的直接编程接口(DPI)。SystemVerilog提供的方法允许设计人员在必要时继续使用现有的设计语言,以利用现有的设计和知识产权。
最初发布于2005年。IEEE 1800-2009(2009年12月)将标准的Verilog部分提升到IEEE 1364-2005,这正式结束了未来Verilog版本的开发。最新版本是IEEE 1800-2012。

相关书籍
使用SystemVerilog进行逻辑设计和验证

SystemVerilog验证:Testbench语言特性学习指南

SystemVerilog断言和功能覆盖:语言、方法论和应用指南

SystemVerilog入门


相关的实体


相关技术

Baidu