中文 英语
知识中心
导航
知识中心

IEEE 1076.1模拟和混合信号

VHDL的模拟扩展
受欢迎程度

描述

VHDL- ams为模拟和混合信号系统提供了对VHDL的扩展。它建立在IEEE Std 1076-1993基础上,并对其进行了扩展,以提供编写和模拟模拟和混合信号模型的功能。这项工作开始于1989年,在DASC下的1076.1子组于1993年成立。它在1999年首次被批准为标准。

该语言在电能和非电能领域支持多个抽象级别。所建模的模拟系统是可以用常微分方程和代数方程描述的集总系统。该语言没有指定任何特定的解方程技术,而是定义了必须达到的结果。方程的解可以包括不连续。

模型的数字部分和模拟部分之间的交互由事件驱动行为和连续行为之间的统一时间模型支持。它还包括频域支持。

VHDL-AMS引入了与物理效应相关的量的概念。定义了两个量:Across表示电压、速度和温度等影响,Through表示电流、力、热流等影响。其中每一个都可以有一个指定的公差,用于指定模拟需要有多精确。

VHDL-AMS系统设计指南:模拟、混合信号和混合技术建模(硅上系统)

VHDL参考:计算机辅助集成电路设计实用指南,包括VHDL- ams

Baidu