中文 英语
知识中心
导航
知识中心

Verilog

硬件描述1984年开始使用的语言
受欢迎程度

描述

Verilog由Phil Moorby发明,并于1984年由Gateway Design Automation与逻辑模拟器Verilog- xl一起发布。Gateway在1990年被Cadence收购,但它仍然是一种封闭的专有语言,尽管它被授权给Synopsys用于他们新兴的逻辑合成程序,从而创建了第一个RTL流。

Verilog这个名字来自于VERIfy和LOGic两个词的合并。

1986年,当VHDL被交给IEEE进行标准化时,Cadence开放了该语言,并创建了Open Verilog International (OVI)来管理该语言。后来它被移交给IEEE,并成为IEEE 1364-1995。2001年对该语言进行了重大扩展,2005年进行了额外的小改动。

1991年,Chronologic Simulation创建了Verilog - VCS的第一个编译代码模拟器版本。

1995年,Verilog-A增加了一组用于支持模拟建模的扩展,这些扩展主要来自SPICE电路模拟器的变体Spectre语言,并由Cadence拥有。

2005年,Verilog进行了一组主要的扩展,将硬件验证语言(HVLs)添加到现有的硬件设计语言(HDL)中。这些扩展大多来自SuperLog和当时Synopsys所拥有的Vera语言。新语言被称为SystemVerilog,并被移交给IEEE,成为IEEE 1800-2005。

一种混合信号语言(Verilog-AMS)于2005年开发并发布。Verilog-AMS标准支持三个级别的模拟和混合信号设计:晶体管/栅极、晶体管/栅极- rtl /行为和混合晶体管/栅极- rtl /行为电路级别。一个Accellera委员会目前正在努力使Verilog-AMS与IEEE 1800的SystemVerilog工作保持一致,以便将AMS功能包含在新的“SystemVerilog-AMS”标准中。

SystemVerilog在2009年进行了更新,当时原始的Verilog标准已经退役。


高级芯片设计,Verilog中的实例

Verilog实例:FPGA设计的简要介绍

数字设计和Verilog HDL基础知识


相关的人


相关的实体


相关技术

Baidu