中文 英语
知识中心
导航
知识中心

SystemVerilog

行业标准设计和验证语言
受欢迎程度

描述

SystemVerilog结合了硬件描述语言(HDL),基于Verilog硬件验证语言(液力基于维拉附加功能来自断言语言。除了一个名字和分享类似的低水平的语法,SystemVerilog仍然是不同语言的集合。

的高密度脂蛋白部分语言来自IEEE 1364 - 2001目前技术上不赞成赞成SystemVerilog (IEEE 1800)。它与一些功能扩展等硬件描述语言(VHDL)的接口。添加新的应用程序编程接口,包括直接编程接口(DPI)。

液力本身是多种语言。主体是一种面向对象语言,目标约束的随机测试模式的方法。主张语言是一种声明式语言,现在已经基本上聚合与产权规范语言(PSL)。

SystemVerilog 3.0(最初的版本是3.0,因为他们认为,最初的Verilog是1.0,1364 - 2001是Verilog 2.0和3.0这是Verilog)是通过2002年6月Accellera并交给IEEE批准。

SystemVerilog 3.1版本发布2003年5月和2004年5月3.1版本包括改进验证语言和C语言集成。这成为IEEE 1800 - 2005。

IEEE 1800 - 2009(2009年12月)带来的Verilog部分标准IEEE 1364 - 2005这正式结束未来的Verilog版本的发展。最新版本是IEEE 1800 - 2012下免费的IEEE得到项目

由于SystemVerilog的延伸,EDA供应商无法实现语言的全部在一个版本。为了方便使用的每个子集都实现,他们开发的方法和类库子集来帮助用户创建一个验证环境。这导致了大量的方法验证等方法从Synopsys对此手册(VMM),先进的验证方法(AVM)从导师和其他人。随着时间的推移,这些聚集,直到该行业完成了通用验证方法(UVM)。

相关书籍
使用SystemVerilog逻辑设计和验证

SystemVerilog验证:学习指南Testbench语言特性

SystemVerilog断言和功能覆盖率:指导语言、方法和应用

一个SystemVerilog底漆


相关的实体


相关技术

Baidu