中文 英语
知识中心
导航
知识中心

IEEE 1800 - systemverilog

IEEE SystemVerilog批准的版本
受欢迎程度

描述

IEEE 1800指定SystemVerilog,高级设计语言用于电子系统的实现和验证。的标准允许使用统一的语言抽象和具体规范的设计,规范的断言,覆盖和testbench验证基于手动或自动的方法。SystemVerilog为覆盖提供了应用程序编程接口(api)和断言,并直接编程接口(DPI)来访问专有功能。SystemVerilog提供方法,允许设计人员继续使用目前的设计语言在必要时利用现有的设计和知识产权。
最初于2005年发布。IEEE 1800 - 2009(2009年12月)带来的Verilog部分标准IEEE 1364 - 2005这正式结束未来的Verilog版本的发展。最新版本是IEEE 1800 - 2012。

相关书籍
使用SystemVerilog逻辑设计和验证

SystemVerilog验证:学习指南Testbench语言特性

SystemVerilog断言和功能覆盖率:指导语言、方法和应用

一个SystemVerilog底漆


相关的实体


相关技术

Baidu