中文 英语

2019年Fab设备挑战

逻辑强,记忆弱,中国的不确定性可能会影响需求。

受欢迎程度

经过一段时间的创纪录增长后,半导体设备行业在2019年面临放缓,此外还有一些技术挑战需要解决。

总体而言,2017年设备行业需求巨大,并延续到2018年上半年。但内存市场在今年年中开始恶化,导致了这两种情况的出现动态随机存取记忆体与非供应商推出他们的设备订单。

内存低迷预计将延续到2019年,这将影响到DRAM和NAND设备制造商。然后,在地缘政治方面,美中之间的贸易问题令人担忧,尽管长期影响尚不清楚。

从积极的方面来看,代工厂商继续增加7nm工艺,推动了逻辑领域的设备订单。在光谱的另一端,前景也很好,该行业继续看到对成熟200mm设备的需求。

尽管如此,对尖端和成熟工具的需求仍无法弥补内存市场的低迷,这可能会使设备行业陷入负增长。根据VLSI Research的数据,2018年全球半导体设备行业预计将增长13.7%,但预计2019年该业务将放缓并下降8.6%。

当然,预测可能会在一夜之间改变,市场也不全是悲观情绪。与逻辑相关的工具细分市场将在2019年表现更好。但在内存市场低迷的情况下,与DRAM和NAND相关的工具部门将面临艰难的处境。

例如,一些铸造厂预计将增加产量极紫外(EUV)光刻在7nm,此举可能会推动EUV扫描仪的订单ASML.检验和计量也是亮点。

“如果你从设备方面来看,EUV显然是积极的。另一个在经济衰退中表现更好的是过程控制。VLSI Research总裁Risto Puhakka表示:“如果代工和逻辑部门维持他们的支出,与内存相比,他们在过程控制方面的支出很大。”“消极的一面是,你可能会看到蚀刻和一些沉积部分严重依赖于内存。这可能会出现更大的下降。”

为了帮助行业在2019年走在前面,《半导体工程》研究了几个设备领域,包括代工、内存、中国和200mm。

更多的数量
一年的变化太大了。超大型集成电路研究公司(VLSI Research)预计,2018年半导体市场将以巨大的内存需求增长15.5%。但据该公司称,目前的内存放缓预计将拖累整个行业,导致2019年IC市场下降1.6%。

设备行业也在遵循类似的模式。2018年一开始看起来像是设备行业又一个创纪录的年份,但内存行业的低迷减缓了这一势头。

“2018年设备市场将增长约14%,略低于我们今年早些时候的预测。不过,今年还是不错的一年,”VLSI Research分析师安德里亚·拉蒂(Andrea Lati)表示。“但我们确实在2018年下半年看到了我们预期的减速。如果你看看2017年有多少支出,我们就会知道,在某个时候,供应将赶上需求,甚至超过需求。这种情况发生在今年下半年。”

在那一点上,市场未能重新获得动力。“我们在2018年下半年看到的这种下行压力将延续到2019年。我们对2019年的前景更加悲观。我们预计2019年半导体和设备市场都将下滑。”“部分原因是我们的行业存在一些过度建设。第二部分是宏观图景。它正在放缓,我们还有中国的情况。这也增加了不确定性。”

使问题更加复杂的是内存的衰退。“我们预计2019年内存销售将下降近10%。我们确实认为,这一逻辑可能会处于正区间,增长近4%。因此,2019年将主要是内存驱动的低迷,”拉蒂说。

毋庸置疑,这将影响设备行业。在另一项预测中,SEMI预测2018年全球新半导体设备销售额将增长9.7%,达到621亿美元,但2019年市场将下降4%。据SEMI称,2019年,韩国仍将是最大的设备市场,其次是中国大陆和台湾。


图1:新型半导体制造设备的全球销售情况。来源:半

另外两个指标,半导体资本支出和晶圆厂设备(WFE)市场,也出现了类似的趋势。

东芝总裁兼首席执行官Toshiki Kawai表示:“(就)WFE资本支出而言,2018年日历受到内存需求旺盛的推动。电话在最近的一次演讲中。“预计同比增长约为5%-10%。”

然而,根据KeyBanc Capital Markets的数据,2019年,WFE将达到506亿美元,比2018年下降5%。根据KeyBanc的数据,2019年资本支出将达到872亿美元,比2018年下降5%。

“就内存而言,我们现在看到的是一个明显的放缓。在经历了令人难以置信的一年之后,我预计DRAM的资本支出将在2019年下降。在NAND领域,2019年将略有下降,”英特尔高级副总裁兼首席营销官Oreste Donzella表示KLA-Tencor.“我们认为代工价格将上涨。问题是铸造厂会涨多少。”

从应用程序的角度来看,这也是一幅复杂的图景。智能手机市场表现平平,但还有其他应用将推动IC需求,如汽车、人工智能和无线。

人工智能涉及一种叫做机器学习.简单来说,机器学习使用系统中的神经网络。在神经网络,一个系统处理数据并识别模式。它匹配特定的模式,并学习哪些属性是重要的。

该行业正在加速在各种系统中使用机器学习,从而推动对asic, fpga, gpu和内存的需求。

“在人工智能和深度学习的时代,记忆世界正在扩大,”三星内存技术董事总经理吉尔·李(Gill Lee)表示应用材料.“所有这些新应用都在增长,比如人工智能、深度学习和数据中心。存储应用正变得更加多样化。”

其他人也看到了类似的趋势。“半导体公司的启动资金有所回升,现有公司内部的新项目也有类似的增长,”他说阿基》首席执行官d2

“对深度学习、自动驾驶和持续创新的兴奋物联网正在提供新的设计起点,”藤村说。“尽管最近加密货币市场降温,但我仍然看到英伟达在自然效果模拟、图像和视频处理以及深度学习方面的强劲增长。很高兴看到新一波对新芯片创新的投资,尤其是在深度学习的推动下。2019年,我们将看到深度学习对半导体制造业的改善。”

Veeco UItratech部门高级营销总监Thirumal Thanigaivelan表示:“人工智能、图形和汽车领域的不同市场需求正在推动前沿发展。我们希望投资能继续下去,因为我们在中国有更多的处理能力HBM(高带宽内存)。多样化的市场和应用空间抑制了晶圆厂设备支出的波动,降低了周期性。”

晶圆片和掩膜
了解市场脉搏的一种方法是查看集成电路领域两个关键组成部分的需求情况:硅片和硅片光掩模

根据SEMI的数据,2019年硅晶圆出货量将达到130.9亿平方英寸,比2018年增长5.2%。2018年,硅片出货量增长了7.1%。

根据SEMI的数据,2019年光掩膜市场预计将超过40亿美元,比2018年增长4%。

光掩膜制造商看到了对领先和落后的掩膜的需求。例如,EUV口罩的出货量预计将翻一番,从2017年的1041个增加到2018年的2185个,根据一项调查eBeam倡议.调查显示,这只占口罩总出货量的一小部分,因为2018年将交付587233个口罩,比2017年增长27%。

“EUV掩模增加了2倍,这是预期的。这是一件好事。但与报道的口罩总数相比,这些数字微不足道。但就其本身而言,两倍的增长是一个强烈的迹象,表明行业已经为EUV做好了准备。”

EUV光刻技术是一种下一代技术,可以在芯片上绘制微小特征,经过多年的延迟,该技术将于2019年进入大批量生产。在EUV扫描仪中,电源将等离子体转换成13.5nm波长的光,使系统能够打印出精细的特征。

芯片制造商需要EUV,因为使用目前的193nm浸没式光刻技术和多重光刻技术来绘制微小特征的图案变得越来越困难。

铸造的增长
与此同时,作为一个大型设备市场的铸造业务则是一个大杂烩。根据KeyBanc的数据,2019年代工行业的资本支出将达到251亿美元,比2018年增长14%。

但尖端晶圆代工厂的数量正在减少,这意味着在最先进的节点上购买设备的买家越来越少。

工具供应商看到了几个铸造领域的需求。在高端,需求驱动因素是7nm,尽管产品组合在先进节点正在发生变化。KLA-Tencor的Donzella表示:“20nm、16nm、14nm和10nm技术实际上是由移动驱动的。“在7纳米制程,我们仍然有很多由移动驱动的淘汰。我们也看到了人工智能的应用。现在的问题是,在晶圆产能中,有多少这样的产能将被实现。”

并不是所有的动作都发生在高级节点。“如果你看看后端技术(比如40纳米及以上)的营收比例,它仍然相当可观。约50%的代工收入来自后缘。这一点不会改变。原因是由于物联网的需求,推动射频和微机电系统.然后是汽车,”唐塞拉说。

在22nm及以上,业界继续开发基于传统平面晶体管的芯片。相比之下,16nm/14nm和10nm/7nm是基于finfet的。

在每个节点上进行扩展变得越来越困难。“领先的PPAC(功率、性能、面积、成本)扩展正变得越来越复杂和昂贵,”华为先进技术开发公司副总裁杨攀说林的研究

这些挑战已经引起了领先的铸造行业的重大变革。今天,只有两家公司在生产7nm芯片三星台积电.在2018年,GlobalFoundries停止了7nm的努力。该公司无法证明7nm的投资回报率是合理的,因为只有少数客户能够负担得起设计先进节点的芯片。与此同时,英特尔在10nm工艺上苦苦挣扎,并已多次推迟。(英特尔的10纳米工艺大致相当于代工厂生产的7纳米工艺。)

尽管如此,三星和台积电仍在向7nm迈进,但它们将面临挑战。2018年,台积电采用传统光学光刻技术实现了7nm的生产。然后,台积电计划在2019年初推出的第二版7nm工艺中加入EUV。

三星最近公布了采用EUV技术的7nm技术。然后,在某个时候,英特尔有望插入EUV。

将EUV投入生产存在一些挑战。“EUV的引入为新的图案膜和先进的蚀刻工艺(如原子层蚀刻)带来了新的挑战和机遇。与EUV互补的多种模式将继续推动密度的扩大。”

在高级节点还有其他挑战。“PPAC规模的另一个重大挑战是RC(电阻-电容)的降低,这需要新的材料和集成来减少线阻和通阻,以提高电路的功率性能,”潘说。

内存问题
存储器,另一个大型设备市场,正处于困难时期。2018年初,NAND市场下滑,陷入供过于求的模式。整个2018年,供应过剩一直持续,而价格却大幅下跌。

NAND的前景不容乐观。“对于NAND闪存来说,2019年的收入应该会比2018年下降40%,”Objective Analysis的分析师吉姆·汉迪说。“我预计NAND将达到成本并拥抱成本曲线,直到目前的供过于求局面在几年内结束。”

经过多年的增长,DRAM市场面临着类似的局面。" DRAM才刚刚开始崩溃,而NAND自今年年初以来一直在经历这种崩溃," Handy表示。

如果这还不够,还有一些内存方面的技术挑战。例如,平面NAND已经达到了1xnm节点的物理极限。因此,NAND厂商已经从平面NAND迁移到3D NAND。这两种类型都用于固态存储驱动器(ssd)。

不像平面NAND是二维结构,3 d与非类似于垂直的摩天大楼,其中水平层堆叠在一起,然后通过微小的垂直通道连接起来。

如今,供应商正在从48层的3D NAND设备迁移到96层,研发中的产品为128层。有些人将层称为成对。

比特密度随着层数的增加而增加。“2018年,我们已经看到96双鞋进入市场。明年,我预计我们将看到大于120的下一代技术,”李说。

3D NAND的持续扩展将降低比特成本,使高密度ssd能够以更低的价格实现。这反过来又扩大了固态硬盘的市场。“基于nand的固态硬盘最初瞄准的是高端市场。现在,它是笔记本电脑的重要组成部分。ssd甚至还瞄准了低端存储。因此,NAND的市场不仅在上升,而且还覆盖了硬盘驱动器市场的很大一部分。”

然而,将3D NAND从64层扩展到96层甚至更多层是很困难的。在3D NAND流程中,交替的薄膜通过沉积在衬底上堆叠。这个过程要重复几次。但随着层数的增加,挑战在于如何均匀且无缺陷地堆叠这些层。

在下一步中,等离子蚀刻机蚀刻从器件堆栈顶部到底部衬底的微小圆孔或通道。每个通道必须是统一的。否则,可能会出现CD变异。

“当层数增加时,压力管理是另一个极其重要的领域,”林的潘说。“高纵横比(HAR)蚀刻仍然是整个流程中最关键和最困难的步骤。在96层及以上,不仅存储孔模块变得更具挑战性,其他结构(如狭缝)也变得非常困难。”

中国和200mm
多年来,中国一直是一个不断增长的半导体设备市场。然而,贸易问题给这一领域注入了不确定性。

在中国有两种类型的芯片制造商——跨国公司和国内公司。“国内半导体公司一直在花费相当多的钱。2018年,所有主要设备供应商的业务都在增长,”VLSI Research的Puhakka表示。

2019年呢?KLA-Tencor的Donzella表示,"我预计中国的整体净收入在2018年至2019年间不会有显著变化。"“混合是不同的。我们看到更多的铸造厂和更少的内存。我们看到外国投资增多,本土投资减少。”

同时,200mm也是一个关键的设备市场。对模拟、MEMS和射频芯片的需求继续导致200mm晶圆厂产能和设备短缺。

“一些亚洲代工厂担心2018年下半年订单会减少。然而,目前亚洲大多数晶圆厂的利用率超过90%,”二次设备供应商盈余全球首席执行官布鲁斯金说。“对200mm刀具的需求仍然很大。”

2019年呢?“这将是非常紧张的。一些先进的设备将从200mm移动到300mm。我估计2019年300毫米的转移不会那么大,”Kim说。

进入2019年,200毫米的设备短缺。根据SurplusGlobal的数据,该行业需要2000 - 3000个新的或翻新的200mm工具来满足晶圆厂的需求。但据该公司称,市场上只有500种200mm的工具。

200mm刀具价格将保持高位。他表示:“最近300mm的刀具价格比200mm的刀具价格低。

总而言之,2019年设备行业前景黯淡。铸造厂向上看,但内存下降。因此,设备供应商需要抓紧时间。接下来的一年可能会是一场暴风雨。

有关的故事

半商业前景喜忧参半

3D NAND闪存大战开始

200mm Fab Crunch

EUV的新问题领域



留言回复


(注:此名称将公开显示)

Baidu