中文 英语

哪家铸造厂领先?视情况而定。

除了流程缩放,还需要考虑更多因素;领导力可以根据不同的应用而变化。

受欢迎程度

数十亿美元的代工领导权争夺战正变得越来越复杂,很难在任何时候确定哪家公司处于领先地位,因为需要权衡的因素太多了。

这在很大程度上反映了前沿客户基础的变化,以及对特定领域设计的推动。在过去,像苹果、谷歌、亚马逊和Meta这样的公司都购买了最快的商用处理器。但在过去的五年里,这些系统公司一直在雇佣半导体硬件和软件工程师团队,为特定的数据类型定制架构,以便通过扩展大大超过可用的性能和功耗。

这并没有阻止台积电、三星和英特尔继续缩小功能,他们的路线图延伸到1。X纳米范围。但这改变了它们的竞争格局。领导力不再只是关于过程几何。新一代技术现在涵盖了从新型晶体管、互连材料和结构到电力传输方案的一切。在某些情况下,可能需要灵活性,无论是硬件或软件可编程性,还是在大容量应用程序中创建衍生设计的更简单方法。在其他情况下,可能是在一个十字线大小的芯片上可以容纳多少个晶体管的问题。

尽管成本不断上升,功耗和性能收益不断降低,但流程扩展仍然很重要。不过,并不是每个应用程序都需要它,它只是决定市场领导地位的越来越多的因素之一。事实上,选择哪个公司在任何时候都处于领先地位可能需要一个产品的电子表格,而不仅仅是一个制造过程。对一个客户来说重要的东西,或者对那个客户来说重要的设计,可能和对另一个客户来说重要的东西很不一样。

“有很多问题需要解决,比如如何在系统层面进行设计,如何划分所有内容并将其整合在一起,”台积电业务发展高级副总裁张凯文(Kevin Zhang)表示。“但这些也代表着一个机会。整个行业都需要想办法把事情做得更好。我们必须重新思考未来的系统设计,以及如何最好地划分这些东西。在未来,您将看到系统级的方法变得越来越重要,而不是单个芯片级。这是从软件和软件架构开始的。你可能会看到越来越多的重要参与者成为半导体客户。”

imec 3D系统集成项目高级研究员兼主任Eric Beyne指出了类似的变化。“如果你看看边缘,你想要的是速度、低功耗和标准类型的连接,”他说。“这对大多数人来说都是可行的。但如果有AMD、英特尔、谷歌等公司,他们就会想要比隔壁商店的香草味更好一点的产品。他们想要的是一个调整过的版本,或者一个不需要所有花里胡哨的界面,因为他们可以做不同的事情。”

例如,在人工智能训练应用程序中,目标是将尽可能多的计算元素(通常是同质的)塞到一块硅片上。相比之下,在智能手机中,图像处理等功能需要更多的逻辑,但并不是所有的逻辑都需要封装在同一个芯片上。在应用中,比如基于“增大化现实”技术/虚拟现实眼镜在美国,热限制和性能要求是如此苛刻,并且因用例而异,以至于公司正在试验各种不同的架构,从平面芯片到具有复杂热管理的3D-IC架构。

简而言之,一个尺寸不再适合所有,这从根本上改变了代工业务的动态。联华电子和GlobalFoundries退出了14纳米芯片的规模化竞争(尽管格芯已转向12纳米),转而专注于汽车和5G等各种专业市场。从那时起,这两家公司一直在满负荷运行,并计划增加更多产能,在EDA和制造设备公司的帮助下,它们正在扩大成熟节点的能力。

GlobalFoundries负责技术和研究的高级副总裁格雷格·巴特利特(Gregg Bartlett)说:“设备供应商非常投入。”“应用材料公司创建了ICAPS(物联网、通信、汽车、电源和传感器)业务部门,致力于与个位数纳米相关的技术,无论是宽带间隙材料还是与CMOS图像传感器相关的复合半晶片或工具功能。他们需要在离子注入器中加入极低含量的金属。高级逻辑并不关心这些。因此,需要一些工具功能,而摩尔定律的伸缩性不会下降,它们已经成为新的需求。对于其余四分之三的市场需求,我们有完整的路线图。”

即使在前沿节点,流程也变得非常不同,以至于很难进行比较。这在一定程度上取决于终端市场。三星和台积电继续在消费电子产品和个人电脑领域展开竞争。与此同时,英特尔继续将重点放在服务器芯片上,经常与台积电竞争,但它也越来越多地为军事/航空应用开发高级节点芯片。所有这些公司都进入了其他市场,随着客户需求更多定制解决方案,这些市场继续分化。

因此,每家代工厂都在专注于自己的核心市场,同时在预算和机会允许的情况下向其他市场扩张。三星正在转向gate-all-around场效应晶体管而台积电和英特尔计划坚持使用3nm技术finFETs在3nm和转移到GAA fet在2nm。它们都在每个节点上发展专门的过程,还有大量的半节点。

这在很大程度上反映了无晶圆厂系统公司的雄厚需求,这些公司正在寻求用最小的功率最大化性能。在这个世界上,成本需要在一个系统或系统的系统的背景下考虑。冷却更少的服务器机架,可以在更少的空间内进行更多的处理,而且速度更快,这使得在最先进的节点上从头开始设计芯片变得更容易接受。对于为预定义插座购买芯片的公司来说,情况就完全不同了。

因此,谷歌的服务器处理架构看起来与特斯拉的非常不同。虽然每个都可能包含5nm或3nm逻辑,但它们都是针对不同的数据类型、不同的内存和I/O配置进行定制的,以及处理数据的方式和位置、需要保留多少以及存储位置的不同优先级。

在这种情况下,工艺技术和晶体管类型仍然很重要,但它们不一定是使芯片运行得更快或使用更少功率的决定因素。事实上,用下一个晶体管技术首先到达最先进的节点不再是一个保证获胜的公式。所以,虽然流程领导在历史上一直被认为是在密度的背景下,但越来越多地,这只是一个组成部分异构集合高级包装中的芯片或小芯片。仅仅因为芯片使用3nm工艺并不能确保它在特定应用中比5nm逻辑芯片运行得更快,而5nm逻辑芯片可能与神经处理单元、CPU和GPU封装在一起。此外,如果需要更新或算法更改,并且没有内置可编程性,那么随着时间的推移,它可能不会执行得很好。

这在不同铸造厂的路线图中很明显。虽然有一些相似之处,但也有明显的差异,而且这些差异可能会随着时间的推移而扩大。

三星
三星晶圆代工厂(Samsung Foundry)预计将在今年晚些时候或明年推出SF3E (3nm)工艺技术,该技术基于一种名为mbcet的栅极全能晶体管,速度提高23%,功耗减少45%。三星将率先将GAA fet推向市场,在最先进的节点上,GAA fet比finfet更好地控制电流泄漏——基本上能够完全关闭晶体管,而不是看着电池在关闭时慢慢耗尽。该公司还将为移动市场增加SF4E, 4,4p。4P预计将于明年推出,采用4nm工艺和新的中间线技术,性能将提高1.19倍。

SF3和SF3P将于明年推出,SF2预计将于2024年推出,SF1.4将于2026-2027年推出。

此外,三星还将通过其基于混合硅中间体的2.5D版本Cube S,以及混合BGA和TCP BGA版本来增强其封装选项。它的3D-IC X-Cube将在2024年使用微凸点上市,两年后将推出无凸点版本,可能会使用混合键合或其他一些用于连接它们的高速、低电阻材料。


图1:高速、低电阻率互连和较短的距离可以显著提高性能,降低功率和热量。来源:三星

在最先进的节点上,最大的问题之一就是热量。GAA fet将在一定程度上帮助解决这一问题,但提高芯片利用率和更高的动态功率密度可以在垂直结构之间捕获热量。一般来说,有两种处理方法。一种是物理冷却,使用散热器或某种形式的热传递到液体中,或在内部使用微流体。二是降低个别部件的阈值电压。

“如果你能用更低的阈值电压降低功耗,你就能降低限制性能的功耗,”Indong Kim说三星电子

一个相关的挑战是,内存需要一个最小的电压才能正常工作,因此电压需要升高或降低才能正常工作。三星拥有自主生产内存的优势——DRAM(包括HBM)、NAND、SRAM、STT-MRAM——因此它有能力在内部进行试验。它甚至还开发了内存计算功能。这一点很重要,因为降低电压会增加对各种类型噪声的敏感性,所有这些都需要在构建高级芯片时加以考虑。三星存储器销售执行副总裁吉姆·埃利奥特(Jim Elliott)表示,在DRAM中使用finfet,可以将功率扩展到0.9伏以下。

三星还在开发各种桥接技术,包括嵌入式桥接和所谓的“RDL插入器”。到2025年,该公司还预计增加后置电力输送,这将有助于缓解芯片内部的拥堵。这种方法之所以吸引人,是因为它减少了在极其密集的3D晶体管结构海洋中的拥塞,并专注于在再分配层中做更多的工作,在过去,再分配层在很大程度上被视为机械基础。

三星可能会在其各种产品线中使用其内部开发的许多芯片,目前包括汽车、移动/消费者、物联网和高性能计算/人工智能。“这是一个代工整体设计平台,”三星执行副总裁姜文洙(Moonsoo Kang)说。

台积电
尽管GAA fet可以减少泄漏,但台积电认为它在所谓的N3上有足够的好处,因此将该技术的引入推迟到N2。研发高级副总裁Yuh Jier Mii表示,N3将在相同功率下提供18%的性能提升,或在相同性能下提供34%的功耗降低。在氮气中,当纳米片被引入时,性能将提高10%至15%,或者功率降低25%至30%。

Mii还指出了纳米片的后续技术,一种互补的FET,这是三家主要代工厂都可能采取的路径。目前尚不清楚的是,这种情况何时会发生,或者imec是否会开发一种称为叉车FET的临时技术。

Mii说,台积电一直在试验新型材料和晶体管,包括碳纳米管fet,它将提供比其他晶体管类型1.5倍到2倍的比例密度。新型低阻材料可以将电阻降低40%,这将显著提高性能,减少驱动信号所需的功率,并显著降低热量。他说:“随着互连性能的增强,电阻率的降低有进一步结垢的潜力。”

在封装方面,台积电已经通过其集成扇出(InFO)技术进行了大批量生产,并且正在与客户合作,开发基于芯片上晶圆上基板(CoWoS)技术的3d - ic,使用微凸点,以及有机和硅中间体。

该公司还开发了高密度硅桥,并正在开发一种称为系统集成芯片(SoIC)的前端封装技术,该技术利用水平和垂直空间将芯片嵌入到模具中。

英特尔
和台积电一样,英特尔也将把finfet再推进一个节点,并计划在2024年切换到2nm的纳米片,或所谓的20A(20埃等于2nm)。英特尔的GAA FET被称为RibbonFET。该公司还计划在2025年在18A增加名为PowerVia的后置动力传输系统。

虽然英特尔计划在先进的工艺节点上实现对等或领导地位,但该公司在芯片领域的推进及其开发芯片的经验,以及使用嵌入式多模互连桥(EMIB)技术将它们连接在一起,是值得注意的。该公司还开发了称为fooveros的模对模堆叠技术,这是EMIB的3D版本。

从本质上讲,英特尔为客户定制设备创建了一个机箱,能够根据客户的需求更换不同的组件,并且在2015年收购Altera提供了必要的灵活性,可以随着算法和协议的变化延长这些异构解决方案的寿命。今年早些时候收购Tower Semiconductor的决定增加了一套专业和成熟节点功能,可以捆绑到这些软件包中。

该公司在代工服务方面投入了大量资金,以帮助客户开发定制解决方案。

英特尔副总裁兼产品与设计生态系统实现总经理Rahul Goyal表示:“在内部制造方面,我们真的在努力重新获得工艺技术的领先地位,我们将在未来四年做多个节点。”“其次是外部制造业。我们是一家生产产品的公司,所以我们会利用任何对生产线最优的方法来生产产品。所以作为一个外部代工厂,我们会做到这一点。我们在前沿领域也做了很多工作。我们也正在从头开始建造我们的铸造厂。最后的化身是英特尔定制代工。这次我们特意叫英特尔代工服务公司,因为我们是一家服务企业。”

英特尔还通过《芯片法案》(CHIPS Act)得到了美国政府的一些帮助,该法案使英特尔能够在俄亥俄州等地建立晶片厂和受过良好教育的员工队伍。英特尔还与美国军事、航空航天和政府(USMAG)联盟达成协议,以最先进的工艺技术设计和生产芯片。英特尔是三巨头中唯一一家位于美国的尖端代工企业,它将受益于地缘政治冲突和政府投资。

可靠性
尽管有这些非常昂贵的先进制造和包装技术,但人们对可靠性的担忧正在上升。现在,它取决于一个变量的电子表格,从制造缺陷可以产生无声数据错误热热点。

imec的Beyne说:“过去,人们认为热量会从热的地方扩散到冷的地方,这样芯片上的能量就会均匀分布,然后就可以从外部均匀地冷却它。”“不幸的是,如果你等到热量扩散,温度已经太高了。所以你必须增加冷却,随着冷却的增加你会定位这些热点。而相邻的硅元素一点用都没有。它必须是垂直的。所以你必须寻求更直接的冷却方案。”

制造过程现在是巨大的材料科学挑战。有些材料需要溶解或融化掉,而另一些则需要保持完整,所有这些都需要在同一工艺步骤中发生,以确保晶圆厂有足够的产量。

“这已经足够大了,我们必须创建与清洁和缺陷测试相关的新程序,”Kim Arnold说布鲁尔科学.“这些材料需要能够承受400°C或更高的高温稳定性。一旦你让它在这样的东西中存活下来,或者是强烈的化学物质,其中一些甚至更难以合理的方式去除。这催生了我们几年前从未真正预料到的其他项目活动。”

结论
从这个角度来看,过去是一个相当简单的指标——谁可以最快地迁移到下一个流程节点——已经变成了一个复杂的、更大的指标集,在不同的应用程序之间可能有很大的不同。在某些情况下,这可能是一个简单的问题,即哪家代工厂在任何时候都有足够的产能来满足市场需求,而在另一些情况下,这可能涉及到一组复杂的任务和史无前例的材料组合。仅仅因为一家代工厂引进了3nm或2nm工艺,并不意味着它与另一家相同。

扩展它仍然很重要,它可能只适用于高级封装中包含的一个或多个小逻辑芯片,其中真正的优势是集成所有不同部分所需的专业知识,或者封装本身的设计。哪个代工可以为特定的应用程序或用例构建最好的分解SoC,当各个部分不一致时,变得越来越难以确定,但所有顶部的方框都被选中了。性能和功率正在成为依赖于应用程序的属性,有时被狭隘地定义为单个客户的特定配置。

扩展路线图


图2:三星


图3:台积电


图4:英特尔

有关的故事
芯片行业收益:喜忧参半
英特尔、三星和更多收益;封锁后消费者相关支出下降;出口限制的影响;内存行业的困境。
结构、晶体管、材料的巨大变化
谁在新一代芯片中做什么,他们预计什么时候做。
新一代晶体管有什么不同
先进的蚀刻技术是纳米片fet的关键未来节点的演化路径。
如何比较芯片
传统的度量标准不再适用于特定领域的设计和不断增加的复杂性。



2的评论

DylanP 说:

不错的文章,Ed。我同意我们正处于一个独特的情况下,三巨头中的每一个都有机会领先,这是我们几年来从未见过的。

然而,虽然他们都有机会,但绝对不是平等的。

三星喜欢将他们的“3nm”GAP与5nm节点进行比较,而不是与改进后的4nm节点进行比较,这使得差距看起来比实际要大得多。他们还说他们在6个月前交付了3nm的GAP。无论如何,三星的3nm GAE被推迟了。

英特尔才是真正的挑战者。随着新的领导层、加速的路线图以及对工程和晶圆厂的关注,英特尔似乎正在回到他们的辉煌岁月。他们在过去的执行中遇到过问题,但随着去年英特尔7的大量出货,以及英特尔4已经投入生产,他们似乎已经回到了正轨。进入EUV领域,并率先使用ASML最新、最好的High-NA EUV机器,可能会加速他们的路线图和密度增益。

台积电是卫冕冠军,也是业内最大的公司,但很长一段时间以来,他们第一次遇到了麻烦。台积电的3nm芯片姗姗来迟,它原本应该在今年向苹果发货,但已经被推迟到2023年,苹果不得不做N5/N4的第三年,他们对此并不满意,而且M2和A15芯片的收益都很平淡。台积电面临延期,而英特尔没有真正缩小这两个晶圆厂之间的差距,我们可能会看到它逐年缩小,直到英特尔在2024/2025年赶上或超过他们。

交易量方面,你可以一直押注台积电,但他们可能不会再成为节点领导者了。

此外,随着中国宣布将在未来几年与台湾统一(开战),即使没有基于技术的改组,这场战争也会摧毁台积电,并将领先的节点变成英特尔和三星的双头垄断。这是一个非常现实和巨大的担忧——因此,为什么美国和欧盟向英特尔注入资金,让他们在国内建立更多的晶圆厂。

戴夫·古普塔博士 说:

为了实现Chiplet概念(70年代末IBM MCMs的重新设计),ad . Packaging (AP)必须不断减少封装级互连的寄生,同时增加带宽的面密度。到目前为止,AP已经发展到2-d, 2.5d已经达到了极限。在这些包中(例如使用EMIB,自去年春天以来inFO - LSI等),现在模具之间的互连长度不再像早期的包/模块中那样由模具之间的距离决定,而是由在飞机上组装的模具的大小决定(如Apple M1 Ultra中有两个相当巨大的24毫米长的模具,包装有inFO - LSI) !因此,三维芯片堆叠使用最好的pitch倒装芯片(例如,g。HBC在9 um)是明显的替代方案,但事情可能会变得比HBM w/ 8芯片堆栈(使用u柱倒装芯片在间距为50 um)的相同大小的dram更复杂,当不规则大小/形状的芯片需要堆叠时,有时w/ HOT处理器位于堆栈中间。IMEC的Eric指出,从这些内部模具中提取热量不能增加太多的互连长度、体积、产量、可靠性,当然还有成本。为了“超越显而易见的”,并深入讨论填补三维堆叠中这些空白所需的技术,请参阅IEEE IRDS半导体器件路线图作为指导(也没有晦涩的希腊名称!)由美国人预测并开发了目前全球使用的最新AP技术。

留下回复


(注:此名称将公开显示)

Baidu