中文 英语

代工大战开始

英特尔(Intel)在设备和新晶圆厂上的巨额支出,使竞争进入白热化阶段。

受欢迎程度

领先的晶圆代工厂商正在为一场新的高风险支出和技术竞赛做准备,为半导体制造业格局可能发生的大洗牌奠定了基础。

今年3月,英特尔重新进入代工业务,将自己定位于与三星和台积电的领先地位,并与众多在较老节点上工作的代工公司竞争。英特尔宣布计划在2021年建立两个新晶圆厂,资本支出预算定为200亿美元。

本月早些时候,台积电的回应是提高赌注,将资本支出预算从此前预测的280亿美元提高到300亿美元。台积电计划在未来三年总计投资1,000亿美元。台积电、三星和其他公司也在建设新的晶圆厂。这些公告让人想起十多年前的事情,当时晶圆代工厂参与了资本支出、晶圆厂和技术竞赛,以获得领导地位。与过去一样,由于技术挑战和市场不确定性,目前尚不清楚是否所有供应商都将兑现他们目前的承诺。

代工市场是一个庞大但分散的行业,大约有二十多家供应商在几个技术领域竞争。前沿领域尤其活跃,代工厂为外部客户生产全球最先进的芯片,如fpga和处理器。

十年前,中国有六家领先的代工供应商。但随着时间的推移,晶圆厂和技术成本不断上升,这一领域经历了一次洗牌。如今,三星和台积电是仅有的两家能够在最先进的逻辑节点(即7nm和5nm)上提供工艺的代工厂商,其中3nm在研发阶段。

一段时间以来,人们一直希望市场上有更多领先的供应商,以有竞争力的价格提供更多的选择。今天尤其如此,强劲的需求在某些情况下导致了产能短缺。每个节点的晶圆价格都在上涨。更糟糕的是,正在进行的中美贸易战加剧了台湾的紧张局势,目前大多数领先的工艺技术都位于台湾。任何中断都将对技术的获取产生重大影响。

英特尔重新进入代工业务可能会填补空白,但它还有很多需要证明的地方。早在2010年,英特尔刚开始涉足代工业务时,就失败了。8年后,它退出了市场。当时,英特尔的10nm工艺也遭遇了几次延误,导致其在技术领先地位上输给了台积电和三星。

如今,在新领导层的带领下,英特尔誓言将缩小自有芯片的技术差距,并成为一家更具竞争力的代工供应商。然而,它面临着一场艰苦的战斗。“我在很多方面都持怀疑态度。第一,他们落后于台积电。第一件事就是赶上台积电,这不是一件容易或有保证的事情。台积电几乎必须失手,英特尔才能赶上他们,或者英特尔不得不花更多的钱,无论哪种情况,这都将是困难的,”Semiconductor Advisors总裁罗伯特•梅尔(Robert Maire)表示。“你肯定希望有三家(领先的代工供应商)。英特尔有潜力。未来两三年内,形势不会发生任何改变。问题是四五年之后。 It’s hard to say if they can execute or not. It’s a very tall order.”

显然,该行业需要密切关注市场。以下是一些最新的事件:

  • 分析师表示,英特尔的新代工部门将提供22纳米工艺,也可能是14纳米工艺。英特尔可能会推出7nm芯片,但要到2023年才能上市。
  • 台积电继续在台湾兴建新晶圆厂。台积电还计划在美国建立一个新的尖端晶圆厂,但它也在考虑在美国建立一个更大的工厂。
  • 三星和其他公司计划建造新的晶圆厂。
  • 所有的晶圆代工厂都在投资先进的封装,这可以提供比单独缩放更好的功率/性能改进。


图1:铸造厂收入和市场份额。来源:TrendForce

铸造洗牌
IBS首席执行官汉德尔·琼斯(Handel Jones)表示,受人工智能、汽车、移动、服务器和其他产品需求的推动,全球代工市场预计将从2020年的779亿美元增长到2021年的917亿美元。

多年来,代工供应商为许多不同技术市场的客户提供第三方制造服务,如模拟、CMOS图像传感器、复合半导体、逻辑、MEMS和RF。

技术网站WikiChip的David Schor解释说,针对每个市场,晶圆代工厂开发了一种工艺技术,这是指在晶圆厂中“用于制造集成电路的一系列步骤”。

一些供应商,如GlobalFoundries,三星,中芯国际,台积电和联华电子,在许多技术领域提供代工服务。大多数人专注于一个或几个领域。

纯晶圆代工行业出现于1987年,当时还不知名的台积电为外部公司提供芯片制造服务。其他代工供应商很快跟进。

当时,大多数IC供应商都是集成设备制造商(idm),并在自己的晶圆厂生产芯片。在那些日子里,idm解雇了在技术上落后的代工厂。

即使在当时,尖端工艺技术市场也是竞争激烈的。idm和落后的晶圆代工厂试图跟上摩尔定律,晶体管密度每18到24个月翻一番。由于芯片由许多晶体管组成,这些晶体管在设备中起着开关的作用,18到24个月的节奏或节点需要一种具有更多晶体管密度的新工艺技术。

在每个节点上,芯片制造商将晶体管规格扩大0.7倍,使该行业能够以相同的功率提供40%的性能提升,并减少50%的面积。这反过来又使IC制造商能够在设备上封装更多的晶体管,从而使新的电子产品以更低的成本拥有更多的功能。

根据IBS的数据,2001年,有18家芯片制造商拥有可以加工130纳米芯片的晶圆厂,这在当时是领先的工艺。当时,几家代工厂主要在晶圆厂的成熟节点为其他晶圆厂生产芯片。铸造厂也为无晶圆厂设计公司生产芯片。

到2010年,晶圆厂和工艺成本上升。由于无法负担成本,许多idm转向了“低晶圆厂”模式。他们在自己的晶圆厂生产一些芯片,同时将部分生产外包给代工厂。许多idm继续在自己的晶圆厂生产设备,而一些idm走向无晶圆厂或退出业务。

下一个重大变化发生在20nm节点,当时传统的平面晶体管耗尽了动力。平面晶体管仍然用于28nm/22nm及以上的芯片,但业界需要一种新的解决方案。

这就是为什么英特尔2011年推出了22nm的finfet。三年后,铸造厂又推出了16nm/14nm的finfet。


图2:FinFET vs. planar。来源:Lam Research

FinFETs具有比平面晶体管更好的性能和更低的静电泄漏。“与之前的平面晶体管相比,这种三面通过栅极接触的鳍片,可以更好地控制鳍片内形成的通道,”纳瑞萨·德尔格(Nerissa Draeger)说林的研究

但是finfet也很难在每个节点上制造和扩展。正因为如此,工艺研发成本飙升。因此,现在一个完全扩展的节点的节奏已经从18个月延长到30个月或更长时间。

尽管如此,随着finfet的引入,英特尔扩大了其在微处理器市场和工艺技术上的领先地位。为了利用该技术进入新市场,英特尔于2010/2011年进入代工业务。

这家公司取得了一些成功。当时,英特尔根据其22nm finFET工艺从不同供应商生产fpga。后来,英特尔生产了Altera的14纳米fpga。(2015年,英特尔收购了Altera。)

当时,台积电仍然主导着代工市场,GlobalFoundries、三星、中芯国际、联华电子等公司仍然是一支力量。英特尔的代工份额很小,但由于其技术领先地位,它构成了真正的威胁。

这种情况在2016年发生了变化,当时英特尔首次推出了10nm finFET工艺。该公司在10纳米技术上遇到了几次延迟,最终在2019年推出了基于该技术的芯片,比预期晚了两年多。

考恩(Cowen)分析师马修•拉姆齐(Matthew Ramsay)在最近的一份报告中表示:“该公司在设计10nm制程工艺时,有一个目标,即试图同时为IDM定制工艺,并使工艺通用,以支持更多样化的产品路线图和初具规模的代工业务。”“简而言之,这促成了10nm工艺的混乱。”

然后,在2018年,台积电推出了全球首个7nm finFET工艺。后来,三星推出了7nm芯片。(英特尔的10nm工艺相当于代工厂的7nm工艺。)

这很重要,有几个原因。晶圆代工厂为英特尔的芯片竞争对手提供7nm和5nm工艺。因此,英特尔的竞争对手突然在工艺技术上占了上风。

2018年是关键的一年,还有其他原因。芯片制造成本继续上升,但回报令人怀疑。因此,GlobalFoundries和联华电子在2018年停止了各自的7nm计划。这两家公司仍然活跃在16nm/14nm市场。

同样在2018年左右,英特尔或多或少退出了代工业务。“他们失败的原因是他们没有成为代工的心态,”Semiconductor Advisors的Maire说。“他们是IDM,也许他们有点傲慢。他们的目标不是以客户服务为导向。代工行业需要一种不同的心态。”

英特尔会怎么做?
与此同时,今天的代工市场充满了新的挑战。例如,从2021年开始,汽车芯片出现短缺。汽车芯片短缺主要涉及在老式200mm和300mm晶圆厂采用成熟工艺生产的器件。

目前,200mm晶圆厂产能紧张。高德纳(Gartner)分析师塞缪尔•王(Samuel Wang)表示:“总体而言,200毫米芯片短缺持续的时间比预期的长得多。”“晶圆代工厂将自20年第三季度以来第三次提高晶圆价格。今天,无晶圆厂公司正在与代工厂商谈判,以确保2022年的晶圆分配。”

在前沿,这是一幅复杂的画面。“自20年第三季度以来,7nm和5nm就没有短缺。那时苹果将他们的晶圆从7nm提升到5nm。三星8nm节点存在短缺,给英伟达和高通带来了问题。”

然后,在地缘政治方面,中美贸易战没有减弱的迹象,亚太地区尤其是台湾地区的局势仍然紧张。

情况很复杂。台湾是一个自治实体,与中国没有政治联系。然而,中国声称台湾是其领土的一部分,并希望有朝一日与台湾重新统一。外界对台湾政治事务的任何干涉都被视为对北京的威胁。

最近,中国加强了在台湾周围的军事演习,尽管没有迹象表明即将发动攻击。如果发生这种情况,美国应该保护台湾。这些场景都是假设的。

这些因素和其他因素促使许多人重新审视芯片供应链。根据美国半导体工业协会(SIA)的数据,台积电生产了全球92%的尖端芯片,其所有先进的晶圆厂都在台湾。

因此,SIA正在敦促美国政府为美国先进晶圆厂的发展提供资金。IBS的Jones说:“晶圆厂产能短缺和对过度依赖亚洲的担忧是扩大美国晶圆厂产能的两个关键驱动因素。”

台积电将保留其在台湾的大部分晶圆厂。据IC Insights报道,2020年,台积电在台湾台南开设了一个新晶圆厂的前两期。据IC Insights称,新Fab 18综合体的第1和第2阶段正在大规模生产,第3-6阶段的设施正在建设中。根据台积电的说法,第1-3阶段的目标是5nm生产,而第4-6阶段则是3nm生产。

在台湾以外的一项重大举措中,台积电最近宣布计划在亚利桑那州建造一个新的中型5nm晶圆厂,计划于2024年投产。不过,有报道称,台积电可能会在亚利桑那州建一座更大的晶圆厂,而不是建造一座中型晶圆厂。“有传言称,他们可能想要建立一个千兆级晶圆厂,一个全尺寸的晶圆厂,可以供应大量的零部件,”Semiconductor Advisors的Maire说。与此同时,三星还计划在美国新建一家晶圆厂。

在动荡中,英特尔看到了一个机会,促使它重新进入代工业务,推出了一个新的独立代工部门。为了解决供应链问题,英特尔将为欧洲和美国的晶圆厂提供代工能力

英特尔新任首席执行官帕特·盖尔辛格(Pat Gelsinger)在最近的一次活动中表示:“每个行业的数字化都在加速全球对半导体的需求。“但一个关键的挑战是获得制造能力。英特尔具有独特的地位,能够应对这种情况,满足不断增长的需求,同时确保为全球提供可持续和安全的半导体供应。”

英特尔计划自己制造大部分芯片,并提供代工服务。为此,英特尔将利用其现有的晶圆厂,并计划耗资200亿美元在亚利桑那州新建两座晶圆厂。

不过,英特尔的代工战略很复杂。随着其自身代工业务的发展,该公司将继续将部分芯片生产外包给有竞争力的代工厂,包括成熟和先进的设备。

英特尔重新进入代工业务的举动,引起了台积电(TSMC)的冷淡反应。台积电是英特尔的代工供应商之一,现在是英特尔的竞争对手。“英特尔是一个重要的客户,我们将在某些领域合作,在其他领域竞争,”台积电总裁兼首席执行官魏则西在最近的一次电话会议上表示。

与此同时,在其新的代工业务中,英特尔提供了较老的22nm finFET工艺,以及先进的封装技术。除此之外,英特尔尚未披露其代工计划。在一份研究报告中,Cowen的Ramsay推测英特尔将为代工市场重新定位现有的14纳米工艺。7nm技术也是一种可能。

22nm是十多年前推出的28nm工艺的延伸。尽管如此,28nm平面节点仍然是一个巨大的市场,包括人工智能、物联网/边缘、射频和可穿戴设备。

22nm比28nm性能更好,但比14nm便宜。22nm也是一个拥挤的市场,几家代工厂商正在用不同的技术竞争。台积电和联华电子提供22nm批量平面工艺。GlobalFoundries正在出货22nm FD-SOI。英特尔正在与22纳米finfet竞争。

22nm和28nm的目标是许多相同的应用,包括汽车。“我们看到了汽车电子产品的大量增长,其中涵盖了从0.35微米离散MOSFET器件到28纳米/22纳米ADAS产品的所有工艺技术,以及介于两者之间的一切,如车身和底盘控制,信息娱乐和WiFi,”华硕电子商务发展副总裁Walter Ng说联华电子

除了22纳米,英特尔可能会凭借现有的14纳米技术加入代工大军。“英特尔的14nm工艺可以说是其历史上最成熟的工艺,产量极高,”Cowen的Ramsay说。“英特尔最具政治吸引力的选择是逐渐成为一个规模化的晶圆代工厂,利用现有的14nm产能,同时将自己的产能转移到7nm/5nm的EUV节点上。”

Cowen表示,14nm工艺的潜在客户是那些利用代工厂生产16nm至65nm产品的企业。根据Gartner的数据,到2020年,16nm到65nm的代工收入总额为350亿美元,占代工总收入的46%。

尖端的战争
目前还不清楚英特尔是否会提供14纳米芯片。它很可能将进军尖端代工圈,涉及7纳米/5纳米及以上。“在智能手机和HPC(高性能计算)应用的推动下,N5的需求继续强劲,我们预计N5在2021年将贡献约20%的晶圆收入,”台积电的Wei说。

对前沿芯片的需求是巨大的。“芯片行业出现了分歧,包括深度学习和其他应用在内的超级计算需求,正在推动对3nm、2nm及更远的计算能力的无止境需求,”东芝公司首席执行官Aki Fujimura表示d2

然而,在7nm及以下的位置,静电泄漏再次成为问题,每个节点的功率和性能优势开始下降。东芝综合解决方案规划副总裁Kazuya Okubo表示:“在扩大规模方面存在挑战,例如EPE利润率、成本和高纵横比模式。电话他在最近的一次演讲中说。

另一个问题是,代工客户在前沿只有几个选择。三星和台积电是仅有的两家供应商。

中国最大的晶圆代工厂商中芯国际(SMIC)正在研发类似7nm的工艺,以及其他超越这一工艺的节点。不过,美国政府最近将中芯国际列入实体名单,这意味着设备供应商必须获得特殊许可证,才能在高级节点向中芯国际销售工具。因此,中芯国际的7nm计划陷入停滞。

最终,英特尔预计将参与尖端代工业务的竞争。这取决于英特尔提供7nm及以上芯片的能力。(英特尔的7纳米制程相当于代工厂的5纳米制程。)

然而,英特尔的7nm技术起步并不顺利。当该公司最初开发7nm时,它限制了极紫外(EUV)光刻技术的使用,这是一种使用13.5nm波长在芯片上绘制微小特征的下一代技术。这意味着该公司试图使用传统的193nm光学光刻技术来设计许多困难的特征,这造成了覆盖和缺陷的挑战。这反过来又推迟了英特尔的7纳米工艺。

EUV最近已经成熟。因此,在7nm工艺中,英特尔正在使用EUV来绘制更多的层,这简化了工艺,并使英特尔的技术重回正轨。现在,根据KeyBanc Capital Markets的数据,英特尔计划在2021年推出7nm产品,但要到2023年上半年才能量产。

Cowen的Ramsay称,"不能保证修正路线图,可能需要时间,因为英特尔多年来一直试图获得稳定的执行节奏。"

简单地说,英特尔仍然落后。三星和台积电两到三年前都在7纳米芯片上插入了EUV技术,他们已经在这项技术上积累了经验。两家厂商也都推出了各自的5nm finFET工艺,3nm工艺也即将推出。

IBS的Jones表示:“台积电计划在2022年第三季度为苹果公司增加3nm finfet的产量。“三星正按计划推出第一代3nm芯片gate-all-around(GAA)晶体管,用于2022年第四季度的初始生产。”

在其路线图上,台积电计划将finfet扩展到3nm,然后在2023/2024年转向下一代2nm晶体管结构,称为GAA。相比之下,三星正在从5nm的finfet转向3nm的GAA。

三星和台积电都在开发一种名为a的GAA架构nanosheet场效应晶体管.从finFET进化而来,纳米片基本上是一侧的finFET,周围包裹着一个栅极。纳米片fet比finfet性能更好,但制造难度更大。


图3:平面晶体管、finfet、全能栅极晶体管

英特尔也在开发纳米片fet,可能用于5nm节点。目前还不清楚英特尔的5nm工艺何时会出现,不过该公司是否能在短时间内缩小这一工艺差距也值得怀疑。IC Insights总裁Bill McClean表示:“至少在未来三年,三星和台积电每年的总支出超过500亿美元,任何公司都很难在前沿逻辑处理技术上赶上这两家公司。”

先进的包装大战
不过,英特尔可以通过其他方式缩小差距。通常,为了改进设计,业界会使用芯片缩放来开发ASIC,将不同的功能安装到单个单片芯片上。但是在每个节点上扩展变得更加困难和昂贵,并且扩展带来的功率/性能收益正在缩减。

因此,客户正在寻找替代方案。开发系统级设计的另一种可行方法是在高级封装中组装复杂的模具,这允许更多定制的加速器、各种类型的处理元件和不同的互连策略。

IC供应商、晶圆代工厂和osat正在以一种或另一种形式研究先进的封装。例如,据Cowen称,英特尔正在为一款代号为Sapphire Rapids的新设备制定芯片策略。Sapphire Rapids的目标是2022年,是一款基于增强的10nm芯片和其他设备的服务器处理器。

先进的包装是未来设计的可行选择。传统的芯片缩放也是如此。没有一种技术可以满足所有的需求。因此,至少就目前而言,该行业可能会接受所有这些技术。

有关的故事

美国芯片制造业重获优势

3nm/2nm新晶体管结构



3评论

Mangesh Malgi 说:

非常全面。完美地抓住了要点。

寻的器 说:

在所有人转向3d堆叠芯片之前,真正的代工大战不会开始。因为到那时,比赛场地几乎又会重置。在darpas 3dsoc项目开发完成后,即使是最保守的记者也会认为这是理所当然的,这可能只是时间问题。听台积电的人说,他们也已经认为这是理所当然的。但发令哨还未吹响。我猜他们是在等待darpas项目在2022年底向芬兰推出,然后我们才开始看到更新的路线图。我们只希望会有一场代工大战,而不是因为财务问题而达成秘密协议…

Reedman 说:

90%的芯片市场不需要尖端工艺。汽车客户对芯片短缺的大声/长期哀叹并不在于微小的几何形状——他们需要5-10年前设计和制造的芯片。模拟人员并不担心7纳米何时可用——他们正在为SiC功率fet制作栅极驱动器,需要20伏栅极驱动器来降低功耗。

留下回复


(注:此名称将公开显示)

Baidu