中文 英语

DRAM缩放挑战成长

更多的节点和替代记忆还在进行中,但时间表仍不清楚。

受欢迎程度

DRAM制造商正在推进下一阶段的扩展,但它们正面临着几个挑战内存技术接近其物理极限。

动态随机存取记忆体用于系统主内存,和当今最先进的设备是基于大约18到15 nm流程。DRAM的物理限制是大约10纳米。有努力研发扩展技术,并最终取代它与新的内存类型。

然而,到目前为止,没有直接替换。直到一个新的解决方案,供应商将继续规模DRAM和挤出更多的性能,尽管目前1 xnm节点政权的循序渐进的步骤。和在未来的节点,但并不是所有的DRAM制造商从传统光刻技术将使一个大转变极端紫外线(EUV)光刻在工厂生产。

有或没有EUV, DRAM厂商面临更高的成本和其他挑战。然而,DRAM内存/存储层次结构系统的关键部分。在第一层的层次结构,静态存储器是集成在处理器的快速数据访问。DRAM,下一层,用于主内存。和磁盘驱动器和NAND-based固态硬盘(ssd)用于存储。

DRAM行业是一个巨大而艰难的市场。DRAM厂商正处在低迷之际,市场的价格压力。然而oem厂商仍然希望更快的跟上后发展出更多带宽的冲击新的数据密集型应用程序,如5克机器学习

作为回应,DRAM厂商正在迈向一个新的规范和更快的带宽,但供应商不再是传统的速度扩展或缩小DRAM,大约30%在每个节点。事实上,DRAM比例正在放缓,影响面积密度和成本。在DRAM,指定的节点是半个球场活动或身体的记忆细胞。

今天,供应商运输三个先进DRAM产品代1 xnm节点政权。这三个DRAM代不是给定的节点指定一个数值。行业是指他们只是1 xnm znm 1 ynm和1。

然后,在研发、供应商有三个更多了一代又一代的DRAM路线图,1 xnm节点政权。这些被称为1 anm cnm 1 bnm和1。1 anm DRAMs是定于2021年或更早。

总之,DRAM是缩放和只有小幅上涨仍停留在1 xnm节点政权。但与流行的看法相反,DRAM没有失去动力。“我们还没有做完。我们不认为路线图是完全关闭的。黛博拉•贝尔说:“但它减慢,DRAM产品工程高级总监微米技术。“我们有一个清晰的视线了几年。然后,我们对除此之外有想法。我们现在在讨论和评估。”

不过,该行业面临几个挑战在扩展内存。目前还不清楚如果DRAM可以扩展到10纳米。尽管如此,有一种狂热的活动舞台:

  • DRAM供应商来自中国今年将进入市场。
  • 供应商与下一个接口规范航运达利克,被称为DDR5,加速设备的数据传输速率。
  • 在未来的DRAM节点,三星和SK海力士插入EUV DRAM生产计划。然而,微米计划扩大今天的光刻技术在先进的DRAM节点。
  • 在研发,供应商正在研究技术扩展DRAM超出10纳米。此外,供应商正在开发一些新的记忆,可以替代DRAM和闪存。

DRAM景观
在内存市场长期低迷,全球DRAM销量预计将在2019年达到620亿美元,低于2018年的994亿美元,根据IC的见解。整个IC市场预计将在2019年下降了12.9%,根据VLSI研究。

今天,铸造业务正在升温与内存显示出复苏的迹象。“在DRAM,我们明年很快恢复,”汉德尔·琼斯说,肠易激综合症的首席执行官。“发生了什么是,价格稳定。”

此外,DRAM内容继续增长的系统,如服务器和智能手机。智能手机的平均DRAM的内容将会增加从2018年的3 gb 2019年4 gb,根据微米。人工智能的增长是由爆炸,数据和视频,这就需要更多的内存来存储和传输的信息系统。

在DRAM市场,与此同时,三星是领导者以45.5%的份额在2019年第二季度,其次是SK海力士(28.7%)和微米(20.5%),根据TrendForce。几个台湾DRAM厂商有一个微小的份额。

2019年,中国DRAM厂商进入市场,但他们不会在一段时间内的一个因素。一家国内供应商,昌内存技术,有望提高DRAM到今年年底。在某种程度上,清华Unigroup希望进入DRAM行业。另一个国内希望JHICC(也称为福建金华集成电路有限公司),就下了。

尽管如此,DRAM的关键构件系统。DRAM是快速和廉价的,但它也有一些缺点。后发展出以及sram挥发性记忆技术,这意味着他们失去权力关闭时的数据系统。相比之下,闪存非易失性的,这意味着它保留数据,当系统是关闭的。

DRAM本身是基于有关晶体管,一个电容(1 t1c)存储单元结构。数据存储在电容器,它被指定为“0”或“1。“晶体管控制对数据的访问。

”一位capacitor-one DRAM微小的晶体管设计使它适合包装众多的记忆细胞到一个小面积达到高密度、高存储容量。事实上,数十亿DRAM单元可以被压缩到一个内存芯片,”亚历克斯Yoon解释说,高级技术总监林的研究在一个博客。

DRAM细胞组织在一组时尚。“细胞排列成一行,有一个位线结构,连接到一个内存地址称为字线。地址提供了一种机制,可以识别出数据存储的位置,和字线形式电子路径允许这一行上的所有记忆细胞被激活在同一时间存储(写)或检索(读),”尹说。


图1:单一存储单元和阵列。来源:林的研究

不过,随着时间的推移,电容器泄漏或放电时,晶体管是关闭的,所以必须刷新数据存储在电容器每64毫秒,在系统消耗功率。

也越来越难以规模或缩小DRAM单元在每个节点。DRAM,几何继续横向扩展,但它正在放缓和材料创新需要进一步扩展与3 d NAND一样,”吉尔说李,董事总经理的内存技术应用材料在一个博客。

扩展的电容器是一个障碍。“在细胞电容器缩放,长宽比是一个挑战,“微米的贝尔说。”另一个关键尺度挑战DRAM是电容器的电荷共享数字线。结合你的时机规格,多少时间你必须移动电荷到数字,然后你会多久数字线。所有这些因素为扩展和扩展的挑战。”

DRAM是基于多层电容器体系结构中,电容器的连接和驻留在一个隐藏式通道晶体管阵列结构。

电容器是一种垂直,cylindrical-like结构。在气缸内部,是电容器集成了一个metal-insulator-metal (MIM)材料堆栈。绝缘子是基于二氧化锆high-k材料,使结构保持电容在低泄漏。

DRAM流,晶体管是第一,其次是电容器。在每一个节点,我们的目标是维持或增加圆柱电容器内的体积。但在每个节点,电容器萎缩,这可能导致内部结构的体积更小。相当于少电池储能电容器的电容。

在20 nm,行业遇到障碍时,电容器伸缩。作为回应,三星研发了一种新的蜂窝电容器单元布局技术从20海里。

传统上,小圆电容器细胞并排放置表面上的结构。相比之下,三星交错的细胞表面上,它更像一个蜂窝布局。高,使电容器与更大的直径。用相同的介质材料,细胞蜂窝结构的电容是21%比以前的版本。

这些结构在工厂,三星使用了193海里浸泡光刻技术自对准double-patterning(SADP)的过程。流中,孔的表面上,然后蚀刻。这个过程重复。一个金属沉积,其次是high-k材料使用原子层沉积(ALD)。

扩展DRAM
使用这些技术和其他技术的工厂,三星以及微米和SK海力士的DRAM和超越20 nm。

没有容易。例如,模式好的电容器孔对齐是具有挑战性的。也很难腐蚀电容器在高纵横比。“ALD和干蚀刻都困难,”说Jeongdong崔承哲,分析师。“但是很薄,制服high-k介质沉积是按比例缩小DRAM单元阵列上变得越来越重要。”

从2016年开始,供应商搬到1 xnm节点政权,供应商有三个DRAM产品路线图(1 xnm, 1 ynm和1 znm)。最初,1 xnm节点被定义为一个DRAM 17到19 nm几何图形,1 ynm 14到16 nm, 1 znm 11 nm 13海里。

今天,一些厂商已经轻松扩展规范,创建一些市场的混乱。后发展出属于这些规格,而其他人没有。最重要的是,DRAM单元大小略有不同,包括6 f2。细胞大小等于特性(F)大小乘以4平方。

总之,供应商正在1 xnm节点政权在增量的步骤中,有时由纳米纳米。即便如此,供应商仍然能够在一定程度上减少模具大小。

2016年,三星出货xnm DRAM行业首个1,这是一个18岁的纳米设备。8 gbit的部分是快30%,比2 xnm设备功耗更小。它还包含了DDR4接口标准。Double-data-rate (DDR)技术转移数据设备的每个时钟周期的两倍。DDR4运作3200 mbps。

今天,与此同时,DRAM厂商加大设备- 1 ynm下一个节点。通常基于15 nm流程及以上,1 ynm后发展出将代表今年大部分的出货量。“到今年年底,三星GB容量的70%将1 ynm,“肠易激综合症”琼斯说。

SK海力士最近推出了一个16 1 gbit ynm DRAM,双打前8 gbit密度的版本。该设备还包含新的DDR5接口标准。

最初,DDR5支持5200 mbps,速度比DDR4约60%。DDR5将支持6400 mbps。

其他人也航运DDR5后发展出。手机版叫做LPDDR5。DDR4仍是主流技术,尽管DDR5 / LPDDR5需要有几个原因。

多年来,处理器厂商已经搬到多核CPU架构。然而,记忆bandwidth-per-core勉强跟上。

oem厂商希望DRAM和更快的数据传输速率。这就是DDR5适合。“这就是你能得到的带宽和容量。我们希望能够与CPU核规模。考虑CPU核心数。大概上涨了8倍在过去的十年。显然,记忆必须同步跟上整体计算性能,”吉姆·艾略特说,在三星的销售和市场营销的高级副总裁,在最近的一次演讲。

与此同时,下一个战场是发生在下次node-1znm。微米是第一个供应商船1 znm DRAM,紧随其后的是三星和SK海力士。这些设备都是基于DDR4或DDR5规范。

每个供应商声称1 znm的领导地位。但并不是所有的部分都是相似的和比例规格不同。“有很多营销现在,“肠易激综合症”琼斯说。

1 znm之外,供应商有三个更多了一代又一代的DRAM路线图(1 anm 1 bnm, 1 cnm)。供应商还没有披露的细节部分,仍处于1 xnm节点政权。

供应商正在采取不同的路径在1 anm和超越。在这些节点,更小和更掩模层的特性。简化流程,DRAM行业首次将插入EUV投入生产。

SK海力士,计划利用EUV 1 anm,预计在2021年。“三星完成EUV测试在DRAM 1 z。然而,他们不会使用EUV 1 z大规模生产。相反,他们可以使用它1 a和1 b的质量产品,“TechInsights Choe说。

使用13.5 nm波长,EUV扫描仪13纳米分辨率的模式的特性。但EUV是一个复杂的技术,已经采取了比预期更长的时间来生产。

然而最近,三星和台积电已经把EUV投入生产在7纳米逻辑节点,在研发5海里。下的EUV DRAM是。“忠诚与EUV,得到更好的模式。这些面具层堆积越多,得到的模糊图像,”首席执行官Dan Hutcheson说超大规模集成的研究。

不过,并不是所有的都搬到EUV。在先进的DRAM节点,微米计划延伸193海里浸没式光刻和SADP bnm 1。1 cnm,四模式工作。

“我们仍在评估EUV微米的贝尔说。“我们相信,我们的球场分支过程不仅仅是竞争。我们不能立即看到拦截对EUV。”

这不是一个大惊喜。微米以扩展给定光刻技术尽可能。“他们已经学会了如何使用他们的工具是非常节俭的,如何获取更多的生活,“VLSI的Hutcheson说。“他们把他们比任何人。”

需要超过EUV DRAM规模。今天的1 t1c DRAM可能延长几年,但它可能失去势头在12到10 nm。

如此规模的行业观察方法DRAM超过10 nm 4 f2细胞大小。“垂直门以及capacitorless 1 DRAM t细胞,候选人4 f2,“TechInsights Choe说。

这里有一些挑战,特别是在垂直门通道晶体管,这类似于一个类3 d结构。“问题是wordline wordline耦合和bitline bitline耦合,“Dongsoo Woo说,首席工程师三星在最近的一次演讲。

DRAM替代品吗?
多年来,与此同时,行业发展几个下一代DRAM和闪存存储器类型可以取代。

今天,供应商航运相变内存(PCM), ReRAM STT-MRAM。其他内存技术研发。

下一代记忆快速、非易失性,并提供无限的耐力。但这些新的记忆也依赖于特殊材料和复杂的切换机制,所以他们需要更长时间来培养。另外,新的内存类型更加昂贵。

每一个新的内存类型是不同的。脉码调制将信息存储在无定形和结晶阶段。STT-MRAM使用电子自旋的磁性。ReRAM作品通过改变电阻的材料。

今天,PCM和STT-MRAM ssd的设备被用于选择部分。它们用于地方的DRAM但都不系统的部分。所以,可以有把握地说他们还没有完全取代DRAM。

“在这个时候,我们不能看到任何下一代类型的内存,可以直接取代DRAM,”David Hideo Uriu说产品营销总监联华电子。“我们确实看到了SRAM替换使用MRAM。但对于持久的DRAM的目标替代,我们只能看到一个混合缓存DRAM / MRAM组件。”

STT-MRAM本身正在取得进展。“MRAM技术将继续改善,接近持久记忆的目的。MRAM是最接近技术匹配DRAM的速度和性能,”Uriu说。“考虑到附近的DRAM读取数据的速度,一些应用程序可能能够使用它来代替一些DRAM。“混合”的形式,DRAM将用于缓存MRAM存储区域和提高性能是DRAM替换在某些应用程序中。”

结论
可以肯定的是,新一代的内存类型是有前途的。但是这些产品仍然在早期。

到那时,DRAM活得很好,它可能会留下来,至少在可预见的未来。但到底多久仍是一个很大的未知。

有关的故事

下一个新的记忆

为LPDDR5棘手权衡

利用计算内存

为什么DRAM不会消失

3 d NAND种族面临巨大的技术和成本的挑战



5个评论

客人 说:

EUV行不通DRAM模式甚至在2 x nm。

迈克尔明梁刘 说:

“每个新内存类型是不同的。PCM将信息存储在无定形和结晶阶段。STT-MRAM使用电子自旋的磁性。ReRAM作品通过改变电阻的材料。今天,PCM和STT-MRAM ssd的设备被用于选择部分。它们用于地方的DRAM但都不系统的部分。所以,可以有把握地说他们还没有完全取代DRAM。”

可能值得采访一些从业者在的地方用PCM和STT-MRAM SSD(或DRAM),对他们的经验和意见。

亚美大陆煤层气有限公司 说:

三星DRAM的默认模式是交叉间隔模式(SADP)。没有改变,不应该改变。

EPK 说:

伟大的文章。当前的DRAM使用FinFET或平面场效应晶体管单元格的访问晶体管?外围呢?

Soeren詹森 说:

DRAM使用圆形(FinFET的相反)增加门的长度,而不是宽度。
三星与这样一个1日3 d晶体管(隐藏式通道晶体管)的90纳米技术。
奇梦达做下一步的1号堆电容器技术,他们埋的晶体管阵列和使它一个完整的金属门锡/ W。前聚仍然用于外围transitors用作bitline细胞。这bitline容量减少了一半所以你可以双数组大小和减少安培行,使技术节点密度至少10%的优势。
这是不知名的埋Worldline技术仍然是标准DRAM的世界。不幸的是这是迟到奇梦达出去的钱增加过程。他们坚持长沟电容器技术很难缩小。

留下一个回复


(注意:这个名字会显示公开)

Baidu