考试新司机

降低测试成本的压力越来越大,而汽车行业对电路自我测试的要求也越来越高。这是否会影响测试解决方案的现有设计?

受欢迎程度

提到测试设计(DFT)和扫描链,我的脑海中会浮现出更多的东西——游戏规则正在改变。

新的应用领域,如汽车,可能会为内置自检(BIST)解决方案注入新的活力,也可以用于制造测试。那么,我们所知道的DFT会成为过去吗?还是会继续发挥作用?

测试是设计的一个方面,工程师希望他们不必担心。“这意味着他们必须添加与功能规格无关的电路,”设计到硅部门的副总裁兼总经理乔·萨维奇说导师图形.“他们必须解决交通拥堵问题。他们必须为测试人员生成测试模式。他们必须做很多工作。”

然而,如果没有来自测试器的数据,就无法控制制造质量,也无法进行可能影响良率的诊断。

这一切都归结于经济问题。公司产品管理总监Rob Knoth表示:“单位时间内测试所需的吞吐量大幅增加。节奏.“更少的测试接触,巨大的时间下降压力,以及减少数据量的巨大压力——所有这些都使测试成为一个巨大的问题。如果你的过程中有任何效率低下的地方,无论是压缩比还是模式数,它都会自我倍增,让你陷入困境。这是无法通过巧妙的设计来隐藏的。它直接影响损益。”

这个等式也直接受到复杂性的影响。的解决方案营销副总裁George Zafiropoulos说:“测试用例的抽象必须提高。国家仪器.“有这么多深埋的状态空间,因为有这么多进程必须在软件层面上创建测试,而不仅仅是在引脚上。在过去,你可以做矢量级检验。现在,您需要在处理器上运行更复杂的软件。I/O是高度序列化的。而不仅仅是运行扫描链,你有更多的功能测试通过串行接口,无论是低级的或射频甚至视频数据。物理界面正在发生变化。”

测试设计(DFT)最初被视为一个点工具,但越来越多地集成到流中。Optimal Plus全球营销副总裁大卫•帕克(David Park)表示:“我们需要实现闭环,为工具提供获取大量制造数据的途径。”“现在,我们需要的不是来自晶圆厂或测试工程师的临时信息,而是来自大批量生产的全职数据。晶圆代工厂和idm都可以将数据带回EDA工具,将数据输入DFT环境。收集的数据量呈指数级增长。这是因为他们想做的不仅仅是通过/失败——任何可以帮助提高质量和产量的事情。制造业数据越来越有价值。”

因此,DFT是成本、质量和产量之间的微妙平衡,需要通过诊断不断学习以改进这些方面。

安妮·盖提克,主要研究人员IBM,又增加了另一个方面——可靠性。“芯片的工作环境要求越来越高,需要更低的电压、更高的温度,并且更频繁地做更多的工作。我们需要能够检测到更细微的缺陷,这包括可靠性。为了检测这些微妙的缺陷,我们需要增加模拟测量的数量。”

每个人都必须努力工作,以确保成本和质量得到控制。Sawicki说:“我们需要好的故障模型,这样我们就能确定我们能发现缺陷。”“我们需要确保我们所做的事情能够减少测试的时间,这样你就不会在测试上花费比模具本身更多的时间,但除此之外,诊断领域还有一些更有效的新方法。”

正是不断增加的测试时间和成本从根本上改变了几十年前的测试理念。直到扫描链,大多数测试使用了函数向量,但测试的长度直接受到顺序深度增加的影响。添加扫描链基本上把顺序问题变成了组合问题。

FinFET增加了复杂性
值得庆幸的是,DFT是一个设计领域,它不会因为每个新节点而变得更加复杂。Mentor的产品营销总监史蒂夫•帕特拉斯(Steve Pateras)表示:“除了日益增加的复杂性,每个节点并没有真正增加新的需求。””FinFETs这是一个警告,因为这增加了对这些晶体管进行更彻底测试的担忧。这是一个轻微的间断。”

其他人也同意。“这是一个观察流程节点中有什么新东西的问题,”罗伯特•鲁伊斯(Robert Ruiz)表示Synopsys对此.“将会出现什么类型的新断层?”对于finfet,这是非常清楚的-它的鳍是新的。我们做了一些分析,很多缺陷表现在鳍会导致设备变慢。因此,我们看到人们对高速测试的兴趣有所上升。这是一个过渡故障测试,通过设计引导更长的路径。这通常被称为基于松弛的转换测试。”

这就需要一些新的故障模型。Cadence的Knoth说:“finfet的鳍的变化造成的延迟可能仍然是有效的,但它可能不会像预期的那样工作。”“这需要整合多种工具来分析许多问题。从诊断的角度来看,这是非常引人注目的,因为你必须创建单元的故障模型并应用这些模型,并与测试器上发生的情况保持良好的相关性,然后深入到布局中,回到单元中,了解实际发生的情况。”

每个新节点的变化是更多的晶体管和增加的复杂性。“新材料和新电池将会带来新的挑战,但当你进入一个新的节点,设计本身的尺寸和复杂性将会增加,这将给测试时间带来压力,”Knoth补充道。“在较低的处理节点上,您可以尝试提高压缩比,但这会对拥塞和线路长度造成巨大压力。DFT解决方案如何处理压缩的物理方面,而不仅仅是逻辑方面?每增加一个节点,难度就会增加。”

权力是限制因素
如果芯片上有无限的能量,问题就简单多了,但也需要权衡。“这是零和收益,”帕特拉斯说。“时间对电源管理.您希望尽可能快地完成尽可能多的工作,因此为了管理测试成本,您希望增加测试的并行化。但它必须与权力相对抗。”

这就是困难开始的地方。Pateras继续说道:“在测试过程中,切换活动本质上是更高的,因为测试的全部意义在于尽可能快地、尽可能广泛地完成它。“所以你想要切换尽可能多的活动,这与你想要的低功耗设计背道而驰。”

成本也是一个因素。Knoth指出:“你不能只是为了更好地测试而开发更强大的产品,因为这是在浪费钱。”“我们必须意识到DFT的物理方面,以确保我们智能地划分扫描线,我们正在进行位置感知调度,这样就不会同时测试模具一个角落的所有内容,而是将其分散开来,并进行更多智能时钟门控来管理ATPG功率。”

低功耗设计增加了另一组挑战。Ruiz说:“工具长期以来都能够处理多电压设计。“在实现DFT时,工具必须了解功率岛、电压岛水平换档器等。在优化方面,该工具应尽量减少电压域之间的交叉,以便扫描链不必跨越这些边界。保持细胞必须被处理和测试。但大部分都是在合成工具中自动处理的。”

汽车
Mentor的Sawicki表示,设计工程师不喜欢测试,因为它与功能规格无关。但在一些市场,这种情况正在改变。“在汽车应用中,测试成为上电自检的一部分,这是需要的ISO 26262,特别是在安全关键的应用程序中。可靠性监控是另一个可扩展的领域。”

任何设计汽车零部件的人都看到了测试成本的增长。Pateras说:“ISO 26262标准要求这些部件具有更高的质量和可靠性,因此人们必须重新评估他们在部件中引入的测试量和DFT的量。”

Sawicki认为逻辑BIST (LBIST)可以满足ISO 26262的一些需求,但它也存在一些问题。“容错设计是多年前就提出的,我们确实需要进一步实现这一目标。即使有自我修复的冗余系统,我们仍然需要良率,这样我们就可以有更少的晶圆。人们正试图找到解决办法。”

虽然ISO 26262目前并没有强制要求质量,但许多人认为这在未来是不可避免的。“ISO 26262是关于功能安全的,”鲁伊斯说,“但汽车公司通常都在寻找质量水平低于十亿分之一的缺陷。因此,某些细分市场正在增加更多的图案来提高质量。”

“汽车公司正直接与半导体供应商谈判,因为质量始于半导体,”Park说。“他们希望能够将数据反馈给他们,以确保最终系统的稳健性。”

虽然ISO 26262等标准可能会讨论不良率,但它并没有规定要使用的工具或方法。目前的汽车标准与数字测试有关,未来可能会有模拟测试。

模拟
模拟是房间里的白象,”帕特拉斯说。“我们从数字测试开始,混合信号就像黑魔法一样被放到了一边。越来越多的设计正在变成混合信号,如果你看一下成本,混合信号的测试成本在整体测试成本中所占的比例越来越大。通常超过一半。在汽车行业,一级供应商是强制故障供应商报道芯片混合信号部分的度量。这些都是新问题,目前没有真正的自动化技术来帮助解决这些问题。混合信号没有故障覆盖指标或模式生成。”

Knoth表示赞同:“ATPG的大部分创新都是在数字方面。这是一个比较容易处理的问题。模拟技术进行更多创新的时机已经成熟。传统上,模拟测试使用更严厉的方法来解决这个问题。由于传感器数量的增加、控制逻辑的增加等,混合信号部件的临界性也在上升。对模拟测试的需求正在增加。”

没有模拟扫描的等价物。“用于标准混合信号知识产权例如PHY, BIST是标准技术,”Ruiz说。“通常把这种能力构建到IP中。”他还认为未来需要更通用的解决方案。“模拟测试的早期进展是在测量模拟功能测试的有效性方面。进行模拟模拟,并能够将故障注入到设计的模拟部分,从而可以看到刺激/反应的变化,这是即将到来的。”

不过,根据NI的Zafiropoulous的说法,至少其中一部分可以使用芯片上已经存在的组件来完成。“如果你要处理复杂的配电和多种电压,你怎么知道它们都在正确的时间出现?支持添加adc,监控电压轨。但是如果这些资源已经存在,它们可以被重新利用。所以如果你已经有一个ADC,你就可以对芯片的部分进行采样。”

阿拉伯学者
汽车和模拟设备的结合使得汽车行业更加关注内置自检。高级微设备公司(Advanced Micro Devices)高级研究员杰夫•里尔克(Jeff Rearick)认为,这是未来的正确道路。“DFT在面积上很昂贵,在测试时间上也很昂贵,而且它具有不受欢迎的物理特性。结构化DFT的替代方案是什么?D代表设计。不是为了EDA。EDA希望插入扫描链。”

相反,Rearick认为解决方案在于设计。“如果你给设计师一个挑战,说你想要一个能够自我测试的设计,他们会找到方法来做到这一点。他们有很多晶体管和很多处理器。他们应该更像内存BIST那样考虑操作,而不是只使用扫描链的逻辑BIST。”

这又回到了经济上。Rearick继续说:“如果你能证明你所做的能省钱,你就赢了。”“如果你在芯片面积增加1%或5%的同时,产量增加1%,你可能会得到回报。当然,如果你把空间扩大得太多……”

但是EDA还没有被说服。Pateras说:“出于提高效率和降低成本的考虑,你总是希望在可能的情况下使用一些片外资源进行测试。”“随着时间的推移,LBIST会不断改进,但使用芯片外资源总是会有更好的效率。”

Ruiz指出LBIST长期以来一直是测试的灵丹妙药。“现实情况是,存在多种问题,但尚未取得商业成功。人们对自检很感兴趣,不是为了制造测试,而是在有安全要求的汽车内部。逻辑BIST与制造试验正交。有一些人会在制造测试期间运行LBIST,但这不是测试的主要方面。”

这个等式在未来可能会改变。“LBIST是一个聪明的想法,但它需要一定程度的复杂性和一定的开销,”Knoth说。“大多数人只是没有看到它的价值。”然而,他听到埃隆·马斯克等人的宏伟计划,并受到了启发。马斯克谈到了自动驾驶的普遍使用,它比人类驾驶安全得多。他认为,让自动驾驶进入市场是一种道德义务。它是人类的一种变革力量,这与LBIST等项目非常吻合。”

对许多汽车和汽车制造商来说,这是一个额外的难题物联网设备是他们需要便宜,在许多情况下是严重的pin限制。价格低廉也限制了可能用于测试的面积的增加,反过来,这意味着一种回归未来的技术,在这种技术中,功能测试可能会复苏。

结论
测试,就像今天设计流程的许多方面一样,正在看到放在它上面的需求的分歧。那些沿着这条路继续前行的人摩尔定律可能没有时间重新评估情况,但是对于那些计划留在旧节点上的人来说,测试可能是经济的某些方面可能发生变化的领域之一——或者变化的需求可能迫使他们采取行动。

在本文的第二部分中,将讨论新的DFT技术。它还将研究来自技术的新需求,例如2.5 d而且3 d集成。

有关的故事
技术讲座:ISO 26262
按照这个汽车标准设计会出什么问题?
芯片越来越可靠了吗?
也许吧,但对于新设计和新技术来说,指标是模糊的,而且未知因素比以往任何时候都多。
电源管理升温
热效应现在是设计的关键部分,但如何处理它们并不总是显而易见或直接的。



留下回复


(注:此名称将公开显示)

Baidu