晶圆厂遇上机器学习

D2S首席执行官畅谈了深度学习、EUV和其他制造技术进步的影响。

受欢迎程度

阿基》首席执行官d2他接受了《半导体工程》杂志的采访,讨论了摩尔定律和光掩膜技术。Fujimura还解释了人工智能和机器学习如何影响IC行业。以下是对话节选。

SE:一段时间以来,你一直说我们需要更多的计算能力。因此,我们需要在高级节点上使用更快的芯片,但成本和复杂性都在飙升。摩尔定律是怎样的?

摩尔定律确实在放缓,但我相信,各地都会有持续的创新,让它持续一段时间。生态系统的每一个学科都在努力实现渐进式和突破性的改进。例如,我知道DFM已经存在很久了,但我可以看到还有很多事情可以做。无论如何,毫无疑问需要更多的计算能力。也许十年前就有人说我们不能再使用更多的计算能力了。现在不要再提那件事了。

SE:很明显,这个行业正在发生变化,对吧?

五到十年前,这个行业分化为物联网或者消费类设备vs高性能计算。低功率之类的东西占据了等式的一边。然后,继续扩展计算能力成为高性能计算等式的另一边。因此,gpu和这些大规模并行架构将成为高性能计算的发展方向,这是一个明显的趋势。当然,这不是突然的转变。这些事情随着时间的推移而改变,但趋势是不可否认的。

SE:每个节点的IC设计成本会不断上升吗?

设计成本当然是等式的重要组成部分。设计界多年前就知道如何使用衍生设计。你有一个大的设计项目,然后你在许多衍生设计中利用这个设计。所以你可以有多个相同设计的胶带。这是基本的潜在设计成本。这种情况将继续发生。这绝对是一个比过去更有限的市场。您不需要在领先的晶圆厂中运行物联网设备。但是,使用更多尖端节点进行高性能计算的趋势将继续下去。

SE:人工智能、机器学习和深度学习是这个行业最新的流行趋势。这其中有多少是炒作?

这不是炒作。它不像20世纪80年代和90年代初的Lisp机器热潮。(Lisp机器是运行Lisp的计算机,一种计算机编程语言。)我在学校用过Lisp机器,觉得它是世界上最棒的东西。但我从没想过它会是面向一般编程人群的。现在发生的一切根本不是炒作。它是由深度学习。深度学习是机器学习。机器学习是人工智能。这是真的。深度学习是一个重大的中断,是一项颠覆性的技术,也是一个重大的机遇。

SE:这有什么不同?

深度学习颠覆了编程。在传统编程中,程序员编写代码将一组输入转换为一组输出,而深度学习采用一堆示例输入/输出对,并学习模式匹配。从本质上讲,深度学习的输出是一个程序,它将类似的输入转换为类似的输出,模拟训练数据集。与之前的机器学习不同的是,深度学习所做的事情是以前软件工程师都不知道如何编程的。深度学习使以前不可能实现的软件应用成为可能。有了深度学习,它们是可能的,甚至是微不足道的。


图1:用于机器学习的卷积神经网络来源:斯坦福大学

SE:深度学习是否被用于半导体制造业?

发展很快,但还处于起步阶段。毫无疑问,深度学习已经在影响半导体制造业。

SE:在一次活动中,ASML的Brion部门讨论了他们如何将机器学习应用于光掩模应用程序。他们解释了如何将其用于光学接近校正(OPC)和逆光刻技术(ILT)。对吗?

: Brion举的OPC的例子就是用深度学习来加速OPC或ILT的初始嵌入。他们报告说,也许运行时间可以减少一半。运行时是OPC中最重要的问题之一,所以这很重要。

SE:它是如何被使用的?

这里的基本思想是使用深度学习的模式匹配能力来创建一个比目前可用的替代方案要好得多的初始嵌入。这样就大大减少了完成掩模设计所需的优化迭代次数,从而大大减少了总体运行时间。Brion的论文描述了运行他们的OPC/ILT代码来获取一堆输入模式(所需的晶圆形状)并产生一堆输出模式(产生这些晶圆形状所需的掩模形状)。现在你把这些输入输出对交给一个深度学习装置,它会产生一个程序,将类似输入(其他的,但类似的晶圆形状)转换成类似输出(掩模形状)。

SE:结果是什么?

深度学习是一种统计方法。因此,以Imagenet竞争等为例,您可能会获得95%的准确度,其中输出掩模形状产生所需的晶圆形状,可适应制造变化。当然,在半导体制造业,95%还不够好。我们至少需要7西格玛的精度。这就是Brion论文的智慧,他们用它来加速计算。深度学习推理引擎生成输出掩模形状后,将这些掩模形状作为初始嵌入到常规的OPC/ILT程序中。传统程序的运行速度比从零开始,或从晶圆形状开始(乘以4倍的放大系数),甚至是一些SRAF生成要快得多。

SE:这一切的发展方向是什么?

:先于制造业出现的设计界也正在经历一场深度学习转型。有许多不同的学科报告了使用这种部署深度学习的方法为加速软件产品生成高质量初始嵌入的良好结果。

SE:你还可以在哪些地方使用深度学习?

自动缺陷分类(ADC)是检测掩模和晶圆片的一个重要领域。还有大数据。显然,晶圆厂有很多数据。关联或发现具有大量数据的事件之间的相关性是机器学习擅长的事情。

SE:整个光掩膜行业发生了什么?

:整个口罩市场终于开始增长。过去三年的复合年增长率为4%,我预计我们还会继续增长一段时间。我们已经在通货膨胀调整后的30亿美元上停滞了十多年,所以这对行业来说是个好消息。

SE:为什么口罩行业停滞不前?

在过去,它没有增长是有原因的。一套先进的口罩每种设计有更多的口罩,甚至可能有100个口罩。但尖端的口罩越来越少。因为前沿技术非常昂贵,只有少数公司能负担得起。这就是为什么总体数字保持不变。但在价格和数量上,口罩市场都被非尖端口罩所主导。但前缘最终成为高容量节点。因此,在前沿发生的事情是口罩市场未来的领先指标。

SE:发生了什么变化?

从某种程度上说,现在最终发生的是所有这些事情被压抑的影响。最先进的口罩仍然很贵。但最后,我们正处于大量物联网和复杂芯片被使用的阶段。这是它的一面。另一方面是半导体市场,可能受到深度学习和深度学习计算的大力推动。总的来说,半导体市场的活动要活跃得多。具体来说,在前沿空间有更多的新设计开始。然后,最后是效果EUV。在37亿美元的掩膜总收入中,很难看到euv的昙花一现。但当然EUV口罩更贵。随着EUV口罩数量的增加,我们可以预期整个口罩市场的增长。

SE: EUV光刻技术正在逐步接近生产,但仍存在一些挑战。例如,在EUV中有很多关于有问题的变化(也称为随机效应)的讨论。任何想法吗?

最后,整个讨论关于散粒噪声已经开花结果了。人们已经讨论了一段时间了。但基本上,人们对EUV的短期部署感到满意。最终,大批量使用的EUV可能会达到5nm或3nm,在这种情况下,发射噪声将成为一个问题。

SE:口罩行业正在为EUV做好准备。例如,掩模制造商使用单光束电子束工具在掩模上绘制特征图案。但是所有掩码的写入时间都在继续增加。现在,业界已经开发出多波束掩模编写器。多波束在这个等式中的作用是什么?

多波束机器打开了在遮罩上绘制任何形状的可能性。实际上,在过去,我们有直线形状。有了多波束,我们就不用再那样想了。这是OPC和ILT的一个突破。如果需要,现在可以只输出曲线形状。不止于此。由于其写入方式的性质,它也可以帮助非常密集和小的设计,如EUV掩模。因此,EUV掩模,以及纳米压印大师,都需要多光束技术。


图2:多波束使用多个平行波束。来源:IMS

SE:当插入EUV时,这会对口罩客户产生什么影响?

:来自eBeam计划调查我们可以看到,周转时间对口罩商店来说是个大问题。极紫外会让情况变得更糟。也许一开始不会,因为7+节点的单曝光EUV可能会有更少的srf,甚至可能没有srf。但肯定在不久的将来。

SE:在各种会议上也有很多关于掩模过程校正(MPC)的讨论。什么是MPC,为什么它在口罩制作中很重要?

:掩码过程校正是OPC或ILT的掩码版本。为了打印出你想要的蒙版,你需要操作形状。假设我要求在遮罩上创建一个40纳米宽、200纳米高的矩形。你不会使用人们用于生产口罩的合理抵抗。所以最后可能是36nm。这条线可能不是200nm高,而是160nm高。因此,根据具体情况,一些40纳米线是38纳米,另一些是37纳米。令人惊讶的是,1纳米的差异在晶圆加工中很重要,因此在掩模上也很重要。

SE:我们的目标是什么?

最理想的情况是,你要求的是你每次在面膜上实际得到的。当你需要打印很小的特征时,就像你必须打印srf一样,你在CAD绘图输入中所要求的并不是最终会在实际掩模上出现的东西。这是除非你做MPC纠正输入。

SE:简单来说,MPC是一种软件校正机制,可以在遮罩上实现所需的形状。在哪里做?

:通常在掩码数据准备阶段由软件离线处理完成。通常,这是在OPC和掩码机之间执行的。OPC的数据出来了。有一个阶段叫做掩码数据准备。在掩模数据准备中,过去发生的只是纯粹的断裂。现在是压裂和MPC。它不仅仅是移动数据和将形状分成矩形。你需要做一些处理。一些处理可以使用基于规则的方法完成。一些处理是使用基于模拟的处理完成的。 Simulation-based processing is further classified into two types. One is simulation using empirical models. The other is simulation using physical models. D2S subscribes to the physical-model philosophy. We know that empirical models can over-fit to the test data. Physical models are much better about accuracy in the face of real designs. The other way to do MPC is with in-line correction inside the mask writer as is done in the NuFlare MBM-1000 using its PLDC (Pixel-Level Dose Correction) capability. With that, there is no turnaround time expended to perform MPC because the correction is done as the machine is writing the mask.

SE:有几种方法可以实现这个功能,对吧?

典型的EDA模型是客户拥有一个cpu群。有时是gpu,但主要是cpu。然后,软件由一个独立的供应商提供。我们有不同的模式。我们致力于GPU加速。我们提供自己的计算设计平台。


图3:eBeam倡议调查:MPC成为16nm以下的要求

SE:最后,掩膜行业似乎正在加快步伐,迎接下一个节点的挑战,对吗?

》:口罩行业终于得到了所有技术进步的认可,以满足不断增长的精度和准确性需求以及周转时间要求。

有关的故事
更多光刻/掩模挑战
EUV的新问题领域
机器学习和人工智能中的安全漏洞
新一代面具作家竞赛开始



2的评论

查克 说:

" 7是悲观可行的,但不是实际可行的"我们将使用5的每一点。

Shuhai风扇 说:

在OPC建模(传统或ML)和直接CAD2Mask(来自cad和晶圆数据的ML)方面,它们需要晶圆图像(或CD)来闭合环路。但扫描电镜图像受到电子束损伤的抵抗(抵抗收缩、热效应等)。虽然计量误差(包括收缩,工艺变化)可能是OPC建模中的术语,并且似乎是可以解决的,但我相信预收缩轮廓/CD加上CD归一化将极大地提高OPC模型的精度。
如果我们有足够的计算能力,思想掩模书写模式可以直接实现
大型CAD数据集和相应的后全流程模式(后所有模式步骤:光刻,蚀刻,CMP, CVD/PVD…)但我认为在遥远的未来。毕竟,我们仍然需要真正的CD/Contour来监控光刻过程。

留言回复


(注:此名称将公开显示)

Baidu