中文 英语

定向自组装成长

EUV技术的延迟和DSA技术的进展已经将其作为可行的替代方案推向了前线。

受欢迎程度

马克·拉佩德斯著
在去年的SPIE先进光刻技术会议上,应用材料公司的技术人员克里斯托弗·本彻(Christopher Bencher)表示,围绕定向自组装(DSA)技术的热议,就像1969年著名的伍德斯托克摇滚音乐会所产生的热情。

DSA是一种可以通过嵌段共聚物实现细沥青的替代制模技术,这一技术的动荡和自由流动威胁着DSA潜在使用的现状,这一点显而易见。

一年后,DSA加入了光刻行业。令人惊讶的是,在很短的时间内,DSA已经从一个仅仅是好奇的项目变成了GlobalFoundries、IBM、英特尔、三星和台积电的研发模式。“公司正在认真对待DSA,”DSA专家本彻说。“如果与去年相比,我们现在与DSA处于竞争前阶段。DSA的人都长大了,现在都穿西装打领带了。”

一段时间以来,大多数芯片制造商都对他们的DSA工作保密。然而,在最近的SPIE会议上,芯片制造商终于首次展示了他们最初的工作和成果。

根据早期的发现,DSA在进入IC生产前还有一段路要走。芯片制造商只是在着手解决这些问题。他们仍在尝试各种各样的制造工具、流程、化学反应和设计方法。

尽管如此,最初的发现也很有希望,为DSA的发展方向提供了线索。例如,使用DSA,英特尔演示了28nm结构。另外,GlobalFoundries与DSA一起设计了28纳米鳍片。IBM开发了一种绝缘体上硅(SOI) DSA流程。三星可能已经找到了通往20nm以下dram的道路。

目前还不清楚DSA何时能量产。投影的节点从14nm到7nm不等。“如果你问不同的人,你会得到不同的答案,”IBM研究人员乔伊·程(Joy Cheng)说。

DSA:从实验室到工厂?
DSA本身不是下一代光刻(NGL)工具,而是一种互补的双模式方案。DSA也具有破坏性,并威胁到现状,因为该工艺不依赖于传统的昂贵光刻技术。许多关键的加工步骤是在现有的晶圆跟踪系统中进行的。

DSA方法有两种基本类型:石墨外延法和化学外延法。在石墨外延技术中,导向器是使用现有的光刻工具绘制的。使用轨道,导轨被自旋涂布,清洗,再用共聚物进行自旋涂布。共聚物自组装,然后蚀刻导槽。在化学外延中,自组装是由光刻法确定的化学模式来引导的。

理论上,DSA是有吸引力的,因为它可以降低光刻的整体成本。与EUV相比,DSA需要更少的研发资金。

“我们不需要数十亿美元,”为DSA和其他应用提供材料的AZ电子材料公司(AZ Electronic Materials)首席技术官拉尔夫·达梅尔(Ralph Dammel)说。“材料开发本质上比工具开发更便宜。目前的资金可能足以让业界利用DSA实现14nm节点。如果我们谈论的是10nm及以上节点所需要的高chi聚合物,那么业界应该考虑不同的融资机制。但即便如此,我们谈论的金额也不是很大。”

与此同时,在过去的一年里,奥尔巴尼纳米技术公司、CEA-Leti和IMEC已经为DSA建立了300mm研发试验线。主要的芯片制造商正在这些组织中进行研发工作。晶圆导轨供应商Sokudo战略营销总监Charles Pieczulewski表示:“基本上,DSA仍处于研发阶段。”“该行业仍在努力解决材料的问题。”

展望未来,将DSA引入IC设计和生产阶段是一个挑战。“主要的挑战是器件集成,”全球最大晶圆轨道供应商东京电子有限公司的战略营销和技术经理Ben Rathsack说。

去年,应用材料公司的Bencher将缺陷列为DSA面临的最大挑战,其次是配准、设计灵活性和位置精度。Bencher表示,2013年,位置精度(即将嵌段共聚物排列在适当位置的能力)已成为DSA面临的最大挑战。

Bencher预计内存制造商将是DSA的早期采用者,其次是逻辑和代工供应商。该预测是基于使用DSA生成IC设计的能力。“你会听到有人说:‘我们需要一个完整的设计生态系统来实现DSA。“从逻辑上来说,这可能是对的,但这些人是最不可能实施DSA的。这是因为你需要最灵活的逻辑设计,”Bencher说。“内存制造商真的不需要整个设计生态系统。与逻辑人相比,他们只需要EDA生态系统的1%。”

目前,DSA有几种设计方法。一种想法是使用一维网格阵列,但问题是显而易见的。Bencher说:“设计师不希望被限制在网格上的接触点或网格上的通孔。”

另一个概念是在图案上放置大量的孔或鳍。“在化学外延方法中,你一开始就到处都有洞。之后,你会做一个平版印刷步骤,在那里你选择哪些你想要保留,哪些你想要摆脱。但问题是,航拍图像可能非常马虎。”

在另一种方法中,斯坦福大学正在开发一种使用字母汤的DSA任意设计方法。在这种方法中,接触孔的位置精度是最大的挑战。

芯片制造商对DSA的努力产生了影响
设计只是采用DSA的晶圆代工厂面临的众多挑战之一。例如,GlobalFoundries已经在奥尔巴尼纳米技术公司设立了DSA研发线。使用化学外延技术,该公司展示了三层28nm硅鳍结构。它还在试验石墨外延流。

GlobalFoundries首席工程师理查德•法雷尔(Richard Farrell)表示:“使用化学外延的优势在于,空气密度没有损失。”“使用石墨外延的优点是它涉及一个相对简单的过程。对于石墨外延,我们面临的一些挑战是将边缘粗糙度转换为DSA图案本身。对于直线/空间,我们需要200度以上的温度。这对电阻的光刻性能有额外的限制。”

将DSA引入工厂是另一个问题。“首先,我们必须处理DSA处理中的晶圆厂兼容性,”他说。“存在污染问题。在模式转换中,我们需要考虑平衡反射率和平面化的使用。”

尽管面临挑战,芯片制造商仍在全速推进dsa技术——这是有充分理由的。例如,NAND闪存供应商正在将193nm浸入式和多模式技术推向极限,但供应商迫切需要新的解决方案。三星光刻工程师Jaewoo Nam在最近的SPIE会议上表示:“EUV光刻和双光刻技术被广泛用于处理20nm以下的光刻。”“但EUV有一些局限性。EUV的图案分辨率仅为16nm。成本是巨大的。双重图案也非常复杂。”

利用DSA,三星正在探索开发18纳米dram的可能性。三星电子与DSA的最初目标是设计20nm接触孔。在DSA研发线中,该公司使用块PS-b-PMMA材料实现了石墨外延流。南说,通过专有的处理工艺,三星已经将CD的分布提高了28%。

与三星一样,英特尔也看好DSA。“DSA激发了许多不同的想法,”英特尔(Intel)光刻部门主管、研究员萨姆·西瓦库马尔(Sam Sivakumar)说。他说,DSA可能的应用包括接触孔、过孔和后端(BEOL)流。

英特尔正在IMEC进行DSA研发。去年,IMEC建立了一条300mm DSA研发线,其中包括TEL的轨道系统。利用威斯康星大学的流程,英特尔设计了一个三层28nm的堆栈。该堆叠包括一个互连、通孔和金属层。

英特尔开始在50nm到55nm的网格上交错接触孔阵列。在图案转移过程后,孔洞缩小到26nm到22nm,缩小了35%。英特尔的光刻材料研究员Todd Younkin说,使用JSR的混合DSA公式,英特尔获得了目标分辨率,并取得了良好的结果。然而,对于由AZ电子材料公司和陶氏化学公司共同提供的传统嵌段共聚物,结果不太确定。

另一家研发机构CEA-Leti去年建立了一条300毫米DSA试运行线,该试运行线使用了Sokudo的轨道系统。CEA-Leti的高级科学家Raluca Tiron表示,通过使用Arkema的PS-b-PMMA和石墨外延工艺流程,CEA-Leti实现了35nm到10nm的分辨率。她说:“我们在2纳米左右的3西格玛显示了良好的均匀性。”“在工艺优化后,我们在晶圆上计算了6800个除法点。我们只找到了五个失踪的联系人。”

PS-b-PMMA预计将在10nm处碰壁,这意味着该行业必须开发下一代高chi DSA材料。其他人有不同的看法。“我们认为我们可以将PS-b-PMMA扩展到7纳米节点,”CEA-Leti光刻实验室经理Laurent Pain说。

另一个参与者IBM参与了几个不同的DSA项目。在其中一项工作中,IBM展示了一个更大间距的42纳米工艺流程,这有一天可能会使基于SOI的更小芯片的开发成为可能。在这个实验中,IBM同时使用了阿尔马登和威斯康辛大学的流程,实现了42纳米和28纳米的分辨率。IBM研究人员刘志春(Chi-Chun Liu)表示:“如果我们能在42纳米工艺上进行自组装,我们就能在更小的间距上进行组装。”



1评论

定向自组装(DSA)已经获得了惊人的势头,甚至被与10nm相提并论。由于我对DSA的接触有限,我看到了缺陷,尤其是一些隐藏在表面之下的缺陷,加上一组有限的指导模板,使得DSA的价值主张不如宣传的音高缩放。[…]

留下回复


(注:此名称将公开显示)

Baidu