中文 英语
系统与设计
的意见

自动化和正确的施工将授权3 d-ic收养

口组成的soc和堆叠hbm承诺AI, HPC,和5克,但是噪音也构成了挑战。

受欢迎程度

研究3 d ICs如火如荼时大约在2009年,我一直在研究如何through-silicon-via (TSV)与热在一个半导体芯片制造公司,和逻辑看来,3 d ICs将成为主流。然而,在过去的10年里,使用3 d堆叠死被应用到只有几个应用程序,比如内存或图像传感器,和2.5 d解决方案使用插入器主要用于fpga,所有的手工工作。此外,没有重大创新从2 d系统(每个模具都有自己的包和所有的组件都堆放在系统板)3 d ICs。

与此同时,我一直忙着调查电源噪声的影响从董事会到包和芯片,这是逐渐蔓延到的地方信号噪声和温度系统性能恶化。

近年来,人工智能、高性能计算(HPC)和5 g导致需要快速分析大量的数据,各种实体之间的通信,通信和数据由,迫使改变半导体的地形。

这导致各种小口(System-in-Package)的使用多个模具和组件都包含在一个包和促进发展的先进的包高带宽、高性能、低成本,如硅插入器,扇出,混合动力车EMIB(嵌入式Multi-Die互连桥)。

我相信口组成的soc和堆叠hbm(高带宽内存),和先进的包装将人工智能的主要芯片的形式因素,HPC,和5 g设备集中在一个co-analysis解决方案,包含了完整的SiP系统和签署设计早期阶段减少,信号和热噪声。

然而,即使我提供一个解决方案,提前预测,解决了噪音,除非分析结果直接反映在设计,很难找到并修复问题的原因在一个SiP因为它比2 d系统要复杂得多。此外,SiP更容易耦合噪声因为芯片和包之间的距离更近。

自人设计芯片,设计先进的包装是不一样的,它是非常困难的两个设计师的知识有限,解决问题,即使他们找到薄弱部分电子噪音。如果芯片和包是由不同的公司设计的,因为它们是在许多情况下,预计这两个之间的通信是更现实的。

因此,最好的方式避免潜在耦合噪声是一个布局工具画在实现期间noise-resistant布局的能力。

噪声分析和实际之间的差距设计把我带到Synopsys对此。

2.5 d IC组成一个SoC,堆放hbm,和硅插入器被认为是受欢迎的结构AI, HPC, 5 g设备。数据计算的质量取决于垂直(高速接口并行转换器和电源/地交付网络)和水平渠道(内存通道)内硅插入器。最小化慢跑和通过,没有交叉模式,常规的形状和沥青之间的水平通道和最短路径的垂直通道连接从C4撞到TSV microbump和屏蔽使强大的电子噪声布局。

然而,硅插入器基于全定制设计了手动布局工具。大渠道的数量由于新的HBM生成,如HBM2 HBM2E HBM3,路由的时间越长,效率就越低。

手动为大量的路由通道很难让通道的形状和间距不变,和电子noise-resistant通道路由似乎是不可能的,因为一个典型的排版设计通常有一个知识如何实现一个设计被强噪声对信号和力量。

Synopsys对此的IC编译器二世place-and-route解决方案包括高度自动化硅插入器路由直接地址的所有不便传统手工路由。auto-routing支持水平通道路由SoC和hbm之间,以及定制的FPGA形式。垂直通道的高速接口IP和权力/地面网络,IC编译器II提供汽车放置在microbumps multi-die TSV, C4疙瘩插入器,以及汽车组件之间的连接。

汽车位置和路由等让用户减少周转时间(至少答手工定制路由的数天或数周内几个小时或一天。这个自动化的特性非常重要,为了实现快速硅插入器的设计改进后的分析。

Auto-routing在一个或几个HBM频道只需要几十分钟它允许用户执行各种可行性检查与不同的通道选择和确定最优通道模式。

首先,第二IC编译器插入器路由功能帮助用户实现一个权力/信号噪声健壮的布局设计通过最短的连接在垂直渠道权力路由和并行转换器高速接口以及没有交叉模式,和更少的慢跑和通过在水平内存通道。

你还在做手工硅插入器路由吗?你想找到一个更好的选择吗?



留下一个回复


(注意:这个名字会显示公开)

Baidu