为什么IP质量很难确定

如何为特征,验证和使用可以有很大的影响在设计可靠性和兼容性。

受欢迎程度

区分好的IP从平庸的或坏的IP越来越困难,部分原因是这取决于如何和在哪里使用,部分原因是即使是最好的IP可能工作在一个系统中比另外一个相同芯片开发的供应商。

这是一个挑战知识产权在过去的几年里。在许多情况下,IP是差的特点,无论IP是商业或内部开发的芯片制造商。但是随着芯片变得更复杂,更从多个电力领域和交互用例,即使是最好的意图描述IP可能出错。

知识产权本身越来越复杂,。曾经用于单个功能现在已经结合其他IP创建子系统。虽然提供好处的开发时间,也带来了新的问题涉及集成。第一个订单的业务在考虑任何一段IP是否包括的风险是值得的。

那么什么时被认为是可接受的IP ?手臂商业IP的最大制造商之一,使用许多措施质量指标,以及构成“通过”的变化在不同的项目中,根据彼得·格林哈尔希技术和手臂的副总裁。“然而,我们的指标和通过的需求在我们所有的IP广泛一致。我们的质量指标与功能验证,性能和可交付成果。每一个这些区域分解重叠测试集,评价地区的知识产权。”

CPU,功能验证将包括建筑测试,IP是对一个建筑模型相比,以及直接测试,块和单元水平约束的随机测试,对microarchitectural模型相比,块/单位。也有几个形式验证方法使用,随着操作系统启动,应用程序测试模拟器和FPGA和多个顶级随机指令序列引擎运行在模拟器和硅,什么时候是可用的。简而言之,我们的目标是有许多重叠的功能验证方法,减少错误几率可以逃脱。

性能验证需要类似的途径,与多个不同的基准和micro-tests IP上运行并与对我们的模型预测性能,包括权力、频率和面积设计,格林哈尔希说。“Deliverables-including集成testbenches,IP-XACT模型和向量与芯片级援助力量分析必须被创建和测试在不同的IP配置,确保IP可以有效地合作伙伴的SoC集成。每个IP我们生产有几个里程碑,从传统的α和β,通过我们称之为“领导访问,”适合测试硅,通过的版本,这是在所有测试完成。我们合格的产品适合全面生产。我们考虑一个“通过”的功能,性能和交付质量指标提高IP通过每个里程碑的进展在‘释放’。”

从IP用户的角度来看,质量是决定性因素是否一块IP将采用更大的设计,因为它节省了设计师的时间。那个时候可以用于项目的主要功能,在那里他们可以真正增加独特的价值。

“质量的定义是很广泛的在这种情况下,“博Zalewski说,硬件部门的总经理Aldec。“这表明IP不仅操作正确和有效的,根据行业标准或项目需求,但也有能力轻松地互连系统的其他部分和/或多个单位在需要的时候。”

在IP供应商方面,质量转化为提供上述所有功能。需要复杂的验证方法,使用标准化的接口,全面的文档,说明用户角度体验。一些IP总是需要集成,但IP质量决定了多快可以完成这一阶段,Zalewski指出。

IP的另一个质量指标是可用性的一个全面的testbench套件,包括所有功能和配置,可能准备在更大的整合UVM设计环境。“在这里,一个很好的实践表示IP质量将捆绑仿真/原型准备包无缝实现在pre-silicon FPGA测试,”他说。

取决于是谁问
大多数时候,IP质量的定义取决于优势。“如果你是一个研发经理、IP质量意味着什么,”汤姆Wong表示,营销主管设计IP节奏。“如果你是一个全球供应经理,IP质量意味着别的东西。如果你是一个SoC创业,你测量的质量是相当不同于一个确定的专业公司。如果你正在设计内部IP,那么你的考虑非常不同于作为一个商业IP供应商。如果你正在设计一个汽车SoC,然后我们在一个完全不同的范畴。作为一个IP供应商怎么样?你如何表达IP质量量度你的客户?”

由IP的类型,这变化很大。“当涉及到接口()IP和控制器,如果你是研发经理,你的目标是满足IP规格和设计IPPPA(电力、性能和面积)的目标,”黄说。“你需要验证设计通过硅测试芯片。这适用于所有困难,必须映射到一个特定的铸造过程。在RTL后天叫这些的控制器软IP-你必须综合到一个特定目标库中特定的铸造过程中为了实现他们在物质形态适合SoC集成。当然,你的设计需要经过一系列的通过设计验证步骤模拟、设计,并经过必要的验证刚果民主共和国检查等。此外,你想看到测试硅各角落,以确保过程的IP是健壮的,将表现良好在正常流程生产晶圆的变化。”

有人在IP采购、质量的测量将基于IP的成熟度。这涉及到设计的数量已经贴了使用此IP和bug报告和后续修复的历史。“你会寻找文档和技术成果的质量。您还将基准供应商的标准操作程序bug报告和技术支持,以及会议之前的项目交付的性能。这是除了技术团队做他们的技术尽职调查,”黄指出。

内部团队,可能为一个特定的SoC设计IP项目将使用一个设计流程,建立传统知识上一代的IP。他们可能需要设计IP记住一些可重用性为未来的项目。然而,这样的可重用性要求不需要那么严格和广泛的商业IP供应商因为有可能建立指标和程序遵循的设计团队的标准操作程序。很多时候,新开发的基于设计已被证明在使用之前将开始,鉴于这种稳定的起点。所有这些标准帮助团队更容易实现高质量的结果。

然后,如果汽车SoC的设计,需要额外的重任。“除了确保IP符合协议的规范标准,并将合规测试,你也必须注意会议功能安全要求。这意味着坚持ISO 26262需求和随后实现ASIL认证。通常,即使对于IP,你必须执行一些AEC-Q100-related测试相关的知识产权,如防静电、陆HTOL,”黄说。

这是一个巨大的改变,因为IP质量曾经是一个本地化的度规,负责营销的副总裁Mike Gianfagna说eSilicon。“IP有良好的文档了吗?充分测试吗?是好包括硅验证向量和一个详细的报告吗?虽然这些项目仍然非常重要,来自多个源的第三方知识产权的普遍使用了另一个质量metric-interoperability和集成。”

设计中使用的IP需要兼容工作,除了一系列的质量指标在一个独立的基础上。包括一个清单的物品如金属栈兼容,可测试性设计和操作范围。可靠性需求中扮演了重要的角色和控制接口设计团队是否会面临整合的挑战,其中可能包括如何IP块缝合到一起,Gianfagna说。

质量相匹配的
它有助于匹配质量的其他IP被芯片制造商在汽车等市场尤为重要。“这对顾客很容易说,“零缺陷”,但当你在现实工作,你知道所有的IP,所有代码,有bug。关键是你可以最小化,“米克·波斯纳说,营销主管IP加速Synopsys对此

质量的一种方式方法是与特定的配置,允许非常具体的测试和验证的一组配置,因为配置IP通常有覆盖不到的状态,因为它不是配置模式。这可以给用户留下的印象是不好的质量。“通过移动配置子系统,暴露的漏洞更少。用户希望看到他们的棉绒,CDC / RDC错误自由。与验证,你99. x %功能覆盖代码覆盖,当它扩展到混合信号IP、鉴定测试芯片报告是绝对必需的。”

设计汽车行业需要更多的验证,以及故障分析,这两个特定配置,波斯纳说。“标准ASIL汽车知识产权产品经过测试,FMEDA报告,功能分析,第三方汽车认证的一块,以及可靠性数据如怀疑失败率,和物理验证工作条件。”

IP质量包括RTL
IP质量一路延伸至RTL代码。

“客户希望确保他们可以阅读RTL容易,它可以很容易地紧随其后,它做了很好的注释,它综合没有警告或错误,它是结构良好的Verilog,”克里斯琼斯说,负责营销的副总裁Codasip。“他们还希望支持各种低功率模式。”

这RISC-V ISA尤为重要,质量问题出现的每个物理实现RISC-V ISA是不同的。“RISC-V只是一个ISA规范,由每个提供者实现ISA规范在他们认为合适的地方,”琼斯说。“在RISC-V社区RTL可交付成果的质量参差不齐。预计的一部分,因为这些都是学术的一部分贡献有限的核心支持。但即使最近宣布的核心开发人员(专业半导体公司)对开源的拍拍自己的背AXI总线中的缺陷。”

RISC-V世界的质量尤为重要,开发人员要确保他们得到IP从供应商,做足了功课,交付,他补充说。

有这么多变量处理,有人建议一个IP质量标准可能是有意义的。对于其他人来说,它不是。

Ranjit Adhikary,负责营销的副总裁ClioSoft,不相信标准在IP质量的情况下,因为每一个公司工作时的要求是不同的。“如果有一个IP库,人们忘记了快照的IP设计tapeout或用于测试芯片。一般来说,当人们需要复制数据和销售一个IP是他们错误的文件复制到错误的快照。而且,当一个IP下载,制衡必须到位。例如,当你上传一个IP,你要确保没有噪音在存储库中。你要确保你已经上传正确的版本的IP。”

有一个接口数据管理系统可以帮助因为直接绑定到快照,然后可以上载到存储库或用来保持建筑的设计。

“当你这样做,你想确定所有相关的文件已经上传,并可以通过定义工作流,“Adhikary说。“例如,假设你的工程师。然后到我这里来,我说我要运行一些脚本,看看你录音与正确的版本通过可重复的结果。一旦你把这些制衡,可以自动的脚本,您可以通过上传。咒语是当你想要一个IP,你想很容易找到一个IP的能力,资格,重用它,并发布它。当你发现一个IP你想确保它符合你的要求,所以你需要有能力来定义度量你想要的,你想要的参数,确定“诱导多能性”,比较“诱导多能性”到一个或两个入围的你想看看。一旦你这样做,让我们假设你获得批准的下载,您可以运行线头检查,例如,将有资格告诉你的IP的地位,如果缺少任何文件,什么是知识产权的能力,实际上是产生,是相同或不同的。这些事情你可以做,没有捷径。”

重载的术语
术语“质量”是重载由于“六西格玛”协会和其他特定行业的特性,建议汤姆·安德森,技术营销顾问OneSpin解决方案。”“IP完整性”这个词是在范围更广泛。”

保证设计的完整性包括四个关键dimensions-functional正确性、安全、保障和信任。功能正确性的焦点是传统的验证,确保设计符合其功能规范。在知识产权的情况下,该规范等往往涉及到一个标准的USB 3.0接口或RISC-V指令集架构(ISA)。

但功能正确性还不足以对许多设计。“对安全性要求苛刻的应用程序,比如mil-aero,嵌入式医疗设备和自动驾驶汽车,要求设计操作正确,”安德森说。“随机错误,如α粒子击中绝不妥协的安全设计。许多类型的IP用于这些应用程序,那么供应商必须考虑安全,IP集成商必须证实了这一点。在许多这些相同的应用程序中,IP必须不包含安全漏洞可能允许恶意参与者控制芯片包含IP。IP提供商和IP集成商必须为任何意外屏幕设计安全漏洞。”

它也只相信IP模块实现的关键功能规范定义了,不是它不应该做什么。

客户感觉IP是低风险,也必须满足绝对可交付成果,包括货币投资,根据法扎德Zarrinfar, IP业务的董事总经理导师,西门子业务。”的一件事就是我们所想要的,当我们设计我们的IP基础设施是一个云计算系统,允许我们做全面的IP验证IP,不公开客户巨大的成本,所以资本支出也大大减少了。一切都在云中。我们验证IP晶体管级使用各种各样的工具,如口径为各种不同的天线效应,伦理委员会的作用,lv,刚果民主共和国。这些事情如果你不确认,你可以遇到问题并提供一个低质量的IP。“全面寄生提取,考虑到所有的阻力参数,随着晶体管级仿真和香料级仿真的设计也执行。

其他用户的期望包括全面的时机分析,动力分析,泄漏分析生成可交付成果,前端视图和后端视图。设计也应该在不同级别的抽象上进行验证,以确保它仍然是等价的,并且需要有全面浮动节点检查。此外,作为设计电压降低,可变性的CMOS技术增加,这要求进一步蒙特卡罗分析。在这里,电迁移和红外光谱分析来比赛。

“我们生成的所有数据表,所有的Verilog模型生成,DEF时机,LEF地板计划,所有的阿拉伯学者模型,一直到GDS-II-everything必须准确,“Zarrinfar说。“最重要的是,在选择过程中节点,测试芯片构建并结合生成的数据,以便与硅数据以确保他们彼此是一致的。”

结论
每个客户负责确保他们的设计使它通过制造成功,但支持文档的数量,分析、验证,验证和仿真中各个部分所需的IP设计是不可思议的。从生态系统的角度来看,今天的soc与IP含量高是一个真正的团队的努力。

在这样一个快速变化和复杂的世界中,没有想象的方法来创建一个单一的标准,实际上意味着什么,因为技术和方法的数量需要同意到很低的水平,和不同不同的IP,格林浩尔根据手臂的。这将是几乎不可能实现。如果一个标准的呆在一个高层的抽象,那么主观解释的空间呈现标准的主要意义。

因此,IP质量仍将是一个移动的目标,不同的客户,设计和应用程序。这是不太可能很快改变。



留下一个回复


(注意:这个名字会显示公开)

Baidu