中文 英语

定向自组装的隐藏成本

一些大学正在研究替代的锂离子制程方法,但在10nm之前不太可能实现真正的商业化。

受欢迎程度

马克·拉佩德斯著
定向自组装(DSA)被一些人标榜为半导体制造业的潜在范式转变,但它可能不会像其支持者所说的那样成为万灵药——至少现在还不是。

DSA是一种利用嵌段共聚物实现细间距的替代光刻技术,围绕它存在许多问题。这些问题中的关键是DSA何时将进入半导体生产,该技术面临的挑战是什么,以及它的实际成本是多少。

应用材料公司的技术人员克里斯托弗·本彻(Christopher Bencher)在最近的一次活动中说,理论上,一所大学或研究中心只需花2000美元就可以为研发建立一条DSA线。大学不太可能使用DSA生产复杂的多核处理器,但多年来,研究人员一直在进行研发,并以低成本开发DSA设备。

然而,将DSA从实验室带到工厂则是另一回事。GlobalFoundries新兴光刻和工具部门经理摩西•普里尔(Moshe Preil)表示,要将DSA投入生产,芯片制造商仍需要拥有领先的晶圆厂和193nm浸没式扫描仪。

一个新的尖端晶圆厂预计耗资48.5亿美元至67亿美元。但一般来说,芯片制造商可以利用现有的领先工厂和工具,并购买相对较少的新设备,将DSA投入生产,Preil说。“我不认为将DSA投入生产的成本会那么离谱,”他说。

最明显的成本节约是光刻技术。有了DSA,芯片制造商就可以使用现有的193nm工艺工具,而不再需要极紫外(EUV)光刻技术。193nm浸没式工具的价格约为4000万美元。相比之下,EUV扫描仪预计每台售价为1.25亿美元。

将DSA引入芯片生产还有更大的障碍。目前基于聚(mma -co-苯乙烯)材料的嵌段共聚物在11nm处就会耗尽蒸汽。DSA仍然存在缺陷问题。可以说,最大的障碍是围绕DSA开发一种新的设计方法。

得病的路线图
一段时间以来,领先的芯片制造商一直在评估几种14nm光刻技术。EUV技术有望在14nm工艺下实现,但有迹象表明,由于电源不足,这项技术正面临更多的延迟。

如果EUV是晚期,唯一的选择是使用193nm浸泡和14nm的多模式方案。然后,在10nm, IC行业正在考虑几个选项:DSA, EUV,无掩模,多图案和纳米压印。

一些人认为DSA最早可以在14nm节点插入。GlobalFoundries的Preil表示,DSA更现实的插入点是10nm。他说:“在14nm工艺上插入DSA真的太晚了。”“我们继续以10nm节点所需的速度取得进展。”

从技术上讲,DSA不是下一代光刻(NGL)工具。这是一种互补的双重模式。DSA通过使用嵌段共聚物实现频率倍增。当与预先图案一起使用时,DSA可以降低最终打印结构的间距。

使用193nm浸泡,DSA已经证明了能够打印到12.5nm的图像,而不需要多图案。DSA可以将193nm光刻工艺扩展到10nm以上,消除昂贵的多图版步骤,并推出EUV。在一些路线图上,有一条路径可以同时使用EUV和DSA来扩展超过14nm的器件。

DSA方法有两种:石墨外延法和化学外延法。在化学外延中,自组装是由化学模式引导的。在石墨外延中,自组装是由预先图案化的模板引导的。

斯坦福大学一直在开发一种使用个人指导模板的DSA设计方法。斯坦福大学使用66nm模板,为22nm SRAM电池演示了25nm接触孔。斯坦福大学电气工程教授h·s·菲利普·黄(H.S. Phillip Wong)说,最终目标是开发一套“完整的字符字母模板集”。“这些模板可以让设计师任意组合功能。”

即便如此,设计方面还是存在挑战。关于DSA模板,Mentor Graphics高级物理验证方法项目经理David Abercrombie说:“你能做的事情仍然非常有限。”“你也会受到非常限制性的设计规则或被禁止的设计规则的约束。”

在DSA中,模式匹配等为制造而设计的技术并不是那么简单。使用DSA,设计规则检查器(DRC)可能必须实现“反向模式匹配”技术,Abercrombie说。

DSA的拥有成本
今天,DSA流程流领先于设计基础设施。阿斯利康电子、IBM和威斯康辛大学分别开发了工艺流程,每个流程都旨在将DSA从实验室转移到晶圆厂。

与今天依赖于光刻技术的芯片生产不同,DSA围绕传统的晶圆轨道系统、蚀刻器和检测装置展开。“对于基本的DSA,你需要一个涂布机和一个烘烤板,再加上一种生成导向结构的方法。DSA和其他应用材料供应商AZ电子材料公司的首席技术官拉尔夫·达梅尔(Ralph Dammel)说,由于导轨需要相当小,你至少需要193nm的干式光刻技术,或者对于大学来说,更可能是一个电子束工具”来为单个导轨设计图样。

“然后,你需要蚀刻或至少装饰这些结构,并用扫描电子显微镜(SEM)或原子力显微镜(AFM)来观察它们。湿式显影也是可能的,至少对于接触孔来说。达梅尔说。“对于我们的客户来说,他们已经拥有了所有需要的工具。因此,对于研发来说,不需要新的设备。对于生产来说,这就变成了轨道容量的问题。当然,轨道设备的成本要比EUV工具便宜得多。”

拥有成本取决于几个因素。“假设一所大学有标准的工具,他们的成本将接近于零,”他说。“对于热退火,一所大学可以用几美元拼凑出一些东西。”

GlobalFoundries的Preil说,另一方面,芯片制造商仍需要投资于新的和更先进的材料,如更高k的薄膜和金属栅栏门。他补充说,DSA材料本身的成本预计将与今天的光刻胶类似。

另一个挑战是如何缩放DSA材料。AZ Electronic、Dow、JSR、SEH、TOK等公司正在开发下一代DSA材料。最近,CEA-Leti、Arkema和Laboratoire de Chimie des Polymères Organiques设计了一个DSA开发平台,可以实现20nm的间距和7nm的接触。

嵌段共聚物由连接在一起的不同聚合物链组成。共聚物可以被分离成有序的纳米结构。继承属性使它们能够以两倍或四倍的频率进入规则模式。根据块的长度,可以访问一系列相形态。

“对于10 nm, p(mma -co-苯乙烯)嵌段共聚物不再是合适的材料。它的低气因子意味着需要高分子量(MW)才能实现相分离,”AZ的Dammel说。“由于兆瓦与畴尺寸有关,可以可靠地制造的最低线空间结构约为11纳米。”

目标是开发用于10nm及以下节点的更高chi材料。澳大利亚昆士兰大学正在开发一种很有前途的二嵌段共聚物,称为PS-b-PDLA。他说,虽然还处于研发阶段,但这些材料将使“利用浸没式光刻制造的导向结构,将DSA扩展到8nm节点成为可能”。



2的评论

自组装(DSA)技术已经获得了惊人的发展势头,甚至被与10nm技术相提并论。由于我有限的,嗯,接触DSA,我看到了缺陷,尤其是一些隐藏在表面之下的缺陷,[…]

戴夫 说:

我是一个石头专家,我在SPIE 2014。TEL展示了DSA的生产设备。我对每种类型的DSA的实际成本计算感兴趣。

留下回复


(注:此名称将公开显示)

Baidu