中文 英语
18l18luck新利
的意见

多个光刻选项仍然在玩

看看目前的工作以及新技术进展。

受欢迎程度

吞吐量和正常运行时间的EUV,覆盖193海里浸没式光刻技术的准确性,继续稳步改善,虽然也没有准备好10 nm生产,根据扬声器西方国家半导体

Mike Lercel ASML导演、产品营销、报道几个EUV工具网站实现了70%的正常运行时间超过一个星期,和一个客户的网站做了超过4周。今年的总体目标是> 50%的正常运行时间,以每小时75晶片的吞吐量,为输出,平均每天~ 1000晶片。ASML预计,明年的更大的进步在吞吐量比在正常运行时间,针对125年wph但在~ 1500 wpd 55%的正常运行时间。覆盖精度与最新的模型工具是整个晶片< 3 nm可变性。源被从40 w到80 w,升级和两个100 w单位正经受着ASML植物。EUV抵制将是一个主要问题,如化学放大抵抗不能达到所需的分辨率,敏感性和线边缘粗糙度没有权衡这些品质之一。“长期我们将需要一个新的抵制平台,”格雷格•麦金太尔认为,先进的模式,imec,在imec技术论坛上,注意好的结果在过去9个月与抗拒基于金属纳米颗粒或金属氧化物吸收更多的EUV光子减少散粒噪声和提高腐蚀选择性;当然这意味着潜在的交叉污染问题解决。三重模式(LELELE) 193浸工具成本相当于单程EUV EUV工具达到相同的正常运行时间可用性提供了成熟的浸过程,认为史蒂夫•Renwick主任计算成像,尼康美国研究公司。只制作高分辨率结束削减和接触后自对准四模式,甚至比EUV电子束写可能会更便宜。 Meanwhile, 193 immersion is improving on its own issues of edge placement error. Renwick reported separate work by Nikon, Synopsys and IBM all found ~2-3nm overlay error, or 0.5-3nm total edge placement error (including CD), suggesting that with continued progress multiple printing for 10nm and even 7nm may be feasible, but is not there yet. Imec’s McIntyre also noted that directed self assembly could be a lower cost alternative to self aligned quadruple patterning for basic line patterns, as the technology is improving a rapid rate, but roughness and defectivity still need to be three orders of magnitude better. Meanwhile, nanoimprint technology has reached throughput of 40 wph with ~5nm overlay accuracy, by using four stations with 10 wph, reported Doug Resnick, VP Marketing and Business Development, Canon Nanotechnologies. Next year’s goal is to improve the throughput per station to 15 wph, for 60 wph overall with 6nm overlay, which could make it a low cost contender for some patterns. First target market is NAND flash. Mask life remains significantly below what’s needed though, as the masks are damaged by hard particles after only rather limited use.



1评论

memister 说:

EUV有独特的问题,因为它严重热光学每多层镜吸收(> 20%)。可以限制正常运行时间。大部分的EUV本源能量事实上进入加热比公开抵制的镜子。

留下一个回复


(注意:这个名字会显示公开)

Baidu