中文 英语
18lk新利
的意见

Customer-Developed Hyper-Convergent设计流现在是可能的

创建独特的设计基于一个统一的流动,共享数据模型。

受欢迎程度

我们都知道顺序,区分芯片设计已经结束。在先进技术节点,位置影响性能,性能影响的力量,和路由影响一切。的方式来管理这些挑战是交错设计任务。例如,提供后期的信息路由早期合成工具,以改善收敛。这种技术通常被称为左移位。传统上,这种技术需要漫长的过程只传输特定的技术部分的工具来满足一定的流量要求。虽然很有用,但每个客户的设计流程是独一无二的,并应用相同的流量限制了其有效性。设计团队能够创建自己的定制,hyper-convergent设计流程,基于一个统一、共享数据模型已经遥不可及。直到现在。

该基金会
customer-developed之路,hyper-convergent设计流动始于2018年宣布Synopsys对此融合编译器RTL-to-GDSII解决方案。这个介绍,一个真正的集成RTL-to-GDSII产品架构与一个单一的、可伸缩的数据模型首次成为可用。实现引擎重新编写,能提供一个真正的RTL-to-GDSII设计流程。最好的优化引擎被整合进一个统一的超级引擎。此外,值得信赖的行业黄金引擎结合签收常见的数据模型交付设计流程signoff-correlated的建设。这些证明引擎现在可以被直接访问任何地方的融合编译器流。

这RTL-to-GDSII解决方案为高生产力和灵活性为我们的客户。20%更好的结果和质量2 x更快time-to-results被报道,但这仅仅是个开始。由于单一的、可伸缩的数据模型,一个统一的外壳现在是可能的,一个控制RTL-to-GDSII过程的所有方面。这一系列的创新,为客户创造了完美风暴的创新。现在,第一次,客户可以创建他们自己的hyper-convergent设计流程根据他们的具体需求。结果很令人兴奋。

customer-developed的出现,hyper-convergent设计流
改变从来都不是一件容易的事情。离开的可靠的方式做任何是困难的。芯片设计流肯定符合这个模式。设计团队开发脚本,验证技术文件和验证结果多年来高度复杂的设计任务。搬到新的东西,即使它拥有引人注目的承诺改进和竞争优势,是一个渐进的和谨慎的过程。

首先,现有客户开始缝合设计流到单一平台获得直接的软件建筑PPA和效率优势。

早期波客户解决生产部署,几个准备打开门在芯片设计的新篇章。一个客户现在控制如何利用设计流程的融合构建最好的芯片。下面是一些例子的客户真正的创新是什么样子。

统一物理合成改进物理相关性
移动半导体公司想统一合成和布置,包括auto-floorplanning。使用编译器融合统一的外壳,他们创造了一个统一的物理合成流的目标:

  • 更好的PPA
  • 更快的运行时
  • 改进的相关性

下图总结了手臂Cortex-A73设计成果。实质性的改进。

Pre-synthesis设计规划改善收敛
高性能计算公司想pre-route网在pre-synthesis公羊和缓冲至关重要的。这里的目标是:

  • 更好的时机和改善交通拥堵的关键网
  • 避免多个工具/壳需要缝合

再次,使用编译器融合统一壳这个流。下图总结了他们的研究结果。另一个通过方法创新的实质进展。

Pre-placement时钟合成改进时间相关性
早期数据中心网络公司想建立h树钟树干前位置。这里的目标是:

  • 更好的PPA
  • 改善时间收敛

下图总结了他们的研究成果使用融合编译器统一的壳。另一个赢得更好的总负缺口(TNS)为50%。

为改善PPA Placement-based逻辑优化
一个移动GPU公司想在位置执行逻辑重组优化。这里的目标是:

  • 更高质量的结果,更快的运行时
  • 改进为时钟树综合设计输入

下图总结了他们的研究结果。再一次,显著改善。所有的目标都实现。

接下来是什么
机器学习和预测芯片设计流程可以带来显著的好处。例如,编译器使用机器预测融合加速最好的路径优化的解决方案,并防止刚果民主共和国/定时下游惊喜。机器学习效率直接依赖于训练数据的质量。除了大数据积累在以前的设计迭代或过去的项目,我们有机会加强训练数据流,在相同的运行,对当前的设计修改,那将是极其相关的下游业务。

我们欢迎客户这里给出结果和准备帮助其他客户进入customer-developed的新世界,hyper-convergent设计流。创新设计流程层面的自由现在提供给所有客户。你可以了解更多的这里Synopsys对此融合编译器



留下一个回复


(注意:这个名字会显示公开)

Baidu