中文 英语
系统与设计
的意见

低功耗设计的静态验证

现代低功耗开发和验证流程的阶段。

受欢迎程度

现在设计的芯片中有没有没有功耗限制的?对于智能手机和平板电脑来说,增加充电间隔时间是一个明显的产品区别,也是一个常见的设计目标。对于物联网(IoT)设备来说,功耗也是一个问题,其中许多设备位于难以更换电池或充电的难以到达的位置。甚至计算服务器和网络硬件也面临功率限制;他们一生的电力成本可能会超过最初的支出。结果是几乎所有芯片设计人员都面临低功耗的挑战。

作为回应,电子行业已经开发出减少和管理电源的技术。其中许多都涉及减慢或完全关闭目前不需要的芯片部分。通常的目标是使芯片运行速度足够快,以满足关键的应用需求,同时将功耗保持在可接受的范围内。就像任何其他功能一样,在芯片构建之前,与电源相关的功能必须完全验证。如果不这样做,很容易导致设备锁住或由于过度的功率消耗而遭受热击穿。

在开发过程中,许多低功耗设计技术都可以实现部分自动化。可以添加特殊的状态保留元素,以保持寄存器可用的最小电量,在电源关闭期间必须保留其内容。该工具可以在来自被关闭区域的信号上插入隔离单元,并在具有不同电压和不同开关阈值的区域之间交叉的信号上添加电平移位器。为了避免误解,最好是设计人员、验证工程师和开发工具共享一个共同的、明确的低功耗设计意图的表示。

Accellera标准组织通过定义统一电源格式(UPF)并将其捐赠给电气和电子工程师协会(IEEE)来提供解决方案。最新版本是IEEE 1801-2015, IEEE低功耗集成电路设计与验证标准。UPF文件定义了设计中可以控制功率的区域(功率域)、允许的功率域开和关的组合(电源状态)以及控制功率的信号。它还指定了内存保留策略、隔离单元、电平转换器和电源/接地引脚。硬件设计人员和开发流程中的自动化工具都会添加与电源相关的元素来匹配UPF规范。

低功耗设计的所有方面都必须进行静态验证,这应该分四个阶段进行:UPF文件本身、UPF与寄存器传输级(RTL)设计的对比、UPF与后合成网表的对比以及UPF与后放置和路由电源接地(PG)网表的对比。由于整个低功耗设计和验证过程都利用了UPF描述,因此应该在编写时立即检查它是否有错误。语法、语义和内部一致性可以在开发过程的早期进行验证,甚至在RTL可用之前。

第二阶段需要对照RTL检查UPF。由不同的工程师创建UPF描述和RTL实现是很常见的。即使一个设计人员同时负责这两项工作,UPF文件也很容易随着设计的发展而过时。应该在电源意图或RTL更改时运行检查,以确保它们保持同步。在此阶段可以检测到许多类型的错误,包括缺少或未指定的功率域和功率控制信号。

在现代低功耗开发流程中,逻辑综合工具读取UPF文件,并自动将许多功率控制结构插入到设计中。这些包括隔离单元、电平移位器、保留寄存器和始终打开单元。合成工具应该生成一个修改后的UPF文件(UPF '),反映所插入的结构。静态低功耗验证流程的第三阶段根据UPF文件检查合成后的网络列表,验证所有结构的插入和连接。这个过程会显示UPF中的任何错误、不恰当的合成指令或合成流本身的问题。

随着位置和路由工具读取UPF文件并在设计中插入额外的电源控制结构(包括电源开关),低功耗自动化将继续进行。它应该生成一个反映这些添加内容的UPF”文件。低功耗验证的最后阶段重复前一阶段的检查,以确保位置和路由过程没有引入新的问题。额外的结构检查验证了电源开关,而电源和接地检查验证了电源控制的所有方面在完整的pg连接设计中都是正确的。

如图1所示,Synopsys提供了一个横跨所有四个阶段的静态低功耗验证流程。该流程的核心是VC LP静态低功耗验证解决方案。使用Synopsys设计编译器的逻辑合成和使用Synopsys IC编译器II的位置和路线都读取UPF文件,使用其信息为设计添加低功耗支持,并编写修改后的UPF描述,更新以反映添加的内容。vclp对UPF文件进行检查,并根据设计表示验证它们。静态流程利用Synopsys Spyglass Power工具中的特殊功能来调试违规情况,并完全补充使用Synopsys VCS NLP的本地低功耗模拟。


图1:Synopsys静态低功耗验证流程包括四个阶段。

随着关注功耗的终端应用数量的快速增长,低功耗设计技术在大多数复杂的半导体设计中非常重要。其中许多技术都在符合IEEE 1801-2015标准的UPF功率意图文件中指定。Synopsys为低功耗设计的静态验证提供了无与伦比的解决方案,包括从独立的UPF文件到PG-netlist的四个阶段。芯片开发团队可以采用最先进的电源管理技术,相信设计在所有电源状态下都能正常运行。对于一份包含更多技术细节的白皮书,点击这里



留下回复


(注:此名称将公开显示)

Baidu