中文 英语
18.luck新利
的意见

流程设计工具:保护设计技术

创新的关键,让单纯的铸造厂和程技术革命成为可能。

受欢迎程度

曾几何时,集成电路(ic)是由相同的设计公司。一个集成电路的设计与生产过程紧密集成在每个公司上市。在这些天,当设计包含数百个晶体管,企业建模的每个特性在一个集成电路在第一原理的层面上,这意味着每个晶体管或基本设备分析和最低的,最基本的电气操作水平。为了便于设计和保证准确性,他们使用定制技术计算机辅助设计(TCAD)工具,提供设备与原理图符号和布局参数化模型增强细胞(Pcells),但这是关于自动化的验证了。该公司控制IC的方方面面,从设计到生产。他们这样做,因为,根本没有任何替代品。不过,计算能力和时间需求需要设计ICs这种方式作为一种内在的制动器设计可以包含的组件。

但是,偶尔也会有这些生产线闲置的时候。101年经济学,我们都学会了,叫做“产能过剩”,它通常不是一件好事。如果你的机器和人员不做任何事,他们花费你的钱。所以半导体公司做了任何智能业务——它们发明了一种新的产业。他们提供了其他公司的产能过剩。在某种程度上,有人认识到利用制造能力,他们可以创建一个集成电路设计公司,没有生产设施。这是开始的“无生产线”的集成电路设计和制造模式已经演变成今天我们所拥有的。

1987年,有人认识到同一个模型可以以另一种方式。台湾半导体制造公司(台积电)作为第一个推出“纯粹”foundry-a公司,专门为其他公司制造ICs。设计和制造之间的分离减少了进入集成电路市场的成本和风险,并导致专业设计公司的数量激增。

回顾过去20多年的专业设计革命,您可以快速被巨大的创新和改进。戈登•摩尔的声名狼藉的观察IC设计元件部分的增长很快摩尔定律,随着数码电子行业开始扩张到几乎每一个行业和商业模式。摩尔定律已经预测年底一次又一次,但它还没有发生。新材料和新方法应该取代硅,但这尚未发生,。的主要原因这恒常性铸造厂的能力不只是创新硅过程,但这样做的方式介绍了最小风险的IC设计公司和铸造。魔法使其所有可能的吗?流程设计工具包(此后)。

的出现纯粹铸造厂需要设计和验证的新方法。制造业从纯粹的设计公司厂、铸造厂必须找到一个方法来保证设计师fully-designed系统会产生一个可接受的(和利润)。同时,支持验证的设计越来越复杂,重点必须从单个组件转移到一个更抽象的和标准化水平。

当然,这并不是那么简单。仅仅因为个别设备可以成功制造并不意味着他们可以连接任何旧的方式,仍然是可制造的。如何设计对制造完成光刻等问题的影响,化学-机械整平(CMP),粒子污染,和过程缺乏也会影响最终的产量和性能。

第一步是设计规则的介绍和设计规则检查(DRC)。设计规则定义的最小生产要求一个特定的过程在给定的铸造。设计规则检查证实,绘制几何图形满足这些制造约束。他们还帮助设计者确定哪些层代表的面具和制造步骤(通过层映射)。电子设计自动化(EDA)公司提供了工具,自动约束检查,使设计师能够快速浏览布局和找到任何违反设计规则的配置。

但是设计师还需要知道IC电路满足预定的时间目标和相应的布局实际上创建了电路。铸造厂的设备模型用于描述单个设备和扩大他们pre-characterize常用设备组。特别是设备模型和仿真程序的出现与集成电路重点(香料)模拟成为可能使用pre-characterized设备组件,可以模拟在一起作为一个系统在一个更合理的时间。香料模型代表几pre-characterized设备(例如,场效应管)使抽象电路模拟电路确保满足预定的时间目标。布局和示意图(lv)比较验证,物理布局,事实上,实现电路设计。

pre-characterized装置的组合模型和物理制造约束最小要求专业设计的成功,是第一个我们现在称之为此后的化身。这个看似微不足道的转变成为可能单独的设备制造和设计过程的描述,进而使设计师能够创建复杂的设计基于越来越多的组件。设计师现在可以利用已知良好的设备是由一个单一业务铸造可制造的快速而方便地添加新的或额外的功能设计,并使用此后结合EDA自动化,验证设计的整体性能和工艺性。

而这些元素代表了最低限度的设计验证需要确保产量和性能,最小不平等的满足商业上的成功。如流程目标不断地越来越小的几何图形,每个新的制造过程开始受以前不重要的问题。随着越来越多的设备连接,增加了大量的金属化是需要连接在一起,但这金属化可以不再被认为提供理想的连接。意想不到的寄生电流必须确定,及其对电路性能的影响。寄生提取被添加到设计验证过程中,随着新的工具和流动,使设计师了解寄生对设计行为的影响。这在验证需求的扩张导致了另一个打击上市时间安排和计算的限制。需要更多的抽象。

现在数字设计流程真的开始开花。铸造厂意识到他们可以提供pre-characterized知识产权(IP),如标准电池,库编译器,等,和设计公司可以结合这些IP pre-characterized时机库。设计师现在有一种方法来将大套证明数字部分组合为一个大系统,并利用更高层次的抽象使用静态时序分析工具。此后被扩大到包括时机库pre-characterized标准细胞,以及验证认证的第三方知识产权。

当然,尝试所有可能的组合这些块和检查相结合的不同时间组合到一个目标时间关闭不是微不足道的。答案吗?自动化,形式的试验和错误的方法和路线(不)工具可以快速评估许多可能的路由组合找到“法律”布局选项。此后在演唱会的工作,不具有不断扩展和增强占新布局设计需求的复杂性,以及影响时间和力量。

下一个挑战行业面临的限制光刻技术。作为光刻技术达到了顶点,组件的形状比光的波长小得多的用于图像到晶片,它无法匹配的物理布局的完整性。这一次,躺在制造业方面的解决方案。专用的EDA软件称为光学过程修正(OPC)自动修改了原始布局光刻之前,确保最终硅匹配原始布局意图。

然而,尽管OPC是制造业的任务,它可以,并在设计方面产生影响。即使最好的OPC技术,不可能保证每个布局情况下都可以正常生产。如果一个布局必须改变,哪怕是轻微的,石印的影响是什么?更重要的是,设计师如何避免创建一个布局的一些灾难性的失败可能发生吗?答:添加设计制造(DFM)推荐规则和光刻检查(LPC)此后。

LPC的工具使设计师能够寻找那些条件设计可以满足所有刚果民主共和国要求,和所有时间和相关电行为的期望,还是在制造业由于错误的石印繁殖失败了。不幸的是底线,光刻建模是非常计算密集型和耗时。将DFM推荐规则检查和模式匹配到设计验证流允许设计师来调整设计以避免知名石印“热点”配置,以及实现证明优化以提高产量,在设计之前达到了铸造。在20 nm,采用多模式技术需要新的检查可以确定设计是否正确划分为多个面具。所有这些技术帮助设计师优化设计避免制造失败和/或提高产量坡道和设计性能。

在此期间,使用电子医疗设备等市场,交通运输、航空航天、通信等对高可靠产品的需求增加。产量和性能目标不再是唯一的集成电路生产的关键因素。与今天的许多内在的使用ICs,从汽车安全医疗设备操作,物联网和云计算不仅仅是关键测试设备工作正常,但它继续使用完整的性能和精度,有时几十年来,在客户的应用程序。定时分析扩大,权力和应力分析被添加到验证阿森纳以确保ICs将满足市场要求低功耗,高性能的设备。

确保电气性能的可靠性是一个最新的任务集成电路设计和验证过程。适应需要高可靠性和产品的生命周期,设计规则扩展超出了纯粹的灾难性的印刷问题识别的可靠性和性能问题,如静电放电保护,封闭检测时间介质击穿易感性,电迁移保护等。这些复杂的上下文感知可靠性检查,可以考虑两个布局和电路特点一起帮助确保设计满足产品寿命和性能可靠性的期望。

就像t型的演变到今天的豪华汽车,此后进展明显随着时间的推移,结合EDA基础设施,使其尽可能安全、简单设计一个芯片系统(SoC)设计针对一个先进的流程节点。但是,摩尔定律继续的丧钟;给设计师引起担忧。我们真的能得到更快的设计和/或更低的权力?多少会所有成本和效益水平保证额外的价格点吗?然而,我们摩尔定律的道路继续平静下来,用一个清晰的路径至少3海里。为什么?答案很简单,因为这些新的SoC过程都有一个合格的科索沃民主党,而选择仍然缺乏测试,实践证明,这意味着显著更大的风险。

考虑一般引用的替代品:micro-electrical-mechanical设备(MEMS)、硅光子学和高密度先进包装方法,结合多个死亡或组件。此后的等价性,我们在描述时代。

在MEMS领域,设计差异化仍由独特的MEMS结构设计师发明,把它与此后的核心概念。显然,铸造厂不能保证他们可以制造任意MEMS的形状。但是可以生产什么呢?要回答这个问题,MEMS设计团队和生产团队必须通力合作,降低了铸造团队可以在pre-characterizations上花的时间。此外,由于MEMS专有的设计师会考虑他们的组件,铸造可能无法使用他们刚刚获得的知识来帮助其他客户。没有能力依靠知名,特点,和信任组件,为MEMS此后仍然是一个任务完成。

硅光子学挣扎在某种程度上相同的专用控制装置设计的问题。不过,这里至少可以取得进展。某些常见的组件,如戒指、三通接头、光栅耦合器,等等,可以约定,它甚至可能pre-characterize这些设计元素。我们已经看到SPICE-like布线后的光学模拟方法,设计规则,甚至简单的lv,设计公司在实现自定义布局帮助速度设计的解决方案。即便如此,大多数的光学设计仍然是在基础物理层面使用复杂TCAD-like或专有的编码解决方案来完成他们的工作。似乎明显,将会有一些相当漫长的一段时间之前,设计师可以充分利用pre-characterized光电组件,允许设计扩展到成千上万的设备组件或更多。

然后有multi-die、异构的包装解决方案,如扇出wafer-level包装和硅interposer-based设计。这种技术也都有自己的挑战。不同部分来自多个供应商,谁拥有和负责设计工具包?任何一个实体创建一个设计工具,如何使用所有的组件,从没有一个组的所有知识?然而,这是最近看过最进步的空间。铸造厂进入的领域,我们看到一个快速运动的发展包装组装设计工具包(理应)提供相同的好处此后对ICs。在EDA公司的支持下,设计师现在可以计划和管理他们的包装设计意图在所有组件,类似于原理图捕获组件的自定义布局。他们可以把工具功能验证的连接post-package设计对设计意图。进一步,包类型垂直放置组件非常接近,创造潜在的时间或信号影响,寄生提取可以执行。除了铸造厂,我们看到OSATs采用类似的方法,表明现在有一个合理的、安全的前进道路,设计团队就可以开始之间的权衡决策完整的SoC设计与异构multi-die包装设计与信心。 With the development of ADKs, the total exposure and costs can be off-set through the use of known good die, or at least trusted, high-yielding die processes.

所以,这一切意味着什么呢?只要有一点先见之明,很明显,甚至有一个完善的设计和验证过程和EDA工具不再是足够的集合。使设计比例如我们经历了摩尔定律和双元模型,我们需要一个设计生态系统。流程、工具和需求都必须一起在一个成熟可信设计流程依赖于定义的抽象体现和此后。未来的创新需要新的和增强的科索沃民主党元素在生态系统支持,使他们的采用和实施,是否还越来越小的过程节点SoC设计,多进程包装方案,使用独特的技术,如硅光子学或MEMS,任何或所有这些技术的组合,或者我们还没梦想呢。最后,此后的元素是桥梁之间的差距不同的设计团队和制造商驱动未来技术的进步。



2的评论

伊娃 说:

非常有用的文章,谢谢你约翰。

刘易斯波什 说:

需要多长时间的设计团队来完成一个此后光子学集成电路在工厂吗?这是6个月或更长时间吗?

留下一个回复


(注意:这个名字会显示公开)

Baidu