系统与设计
的意见

观测所

2013年DVCon技术专家的角度来看,和正在发生的变化。

受欢迎程度

由Pranav莎
参加2013年的设计和验证会议之后(DVCon)在圣何塞,加利福尼亚州,我收集了笔记作为一个观察者和小组的参与者。这里是我的观察:

首席执行官沃利莱茵导师图形,给主题演讲:加速EDA创新通过SoC设计方法收敛。逻辑上有效地他提出,SoC集成是更好的理解今天的IP装配方案。他还解决了SoC的另一个新方面实现基础数字功能之外的额外层规范和定义必要的录音芯片之前对此进行检验——某人通过暗示一个关键SoC趋势是电源管理SoC变得普遍。他还指出,多引擎验证作为一个关键的推动者,以及特定于应用程序的验证。

我沃利的言论很感兴趣,因为在我看来,它已成为SoC设计公司的规范竞争在很大程度上执行集成基于类似的参考设计。性能、功率效率和SoC的特性集是基于积极的及时交付集成单个组件块而不是小说。后果之一是,验证问题是移动IP模块之间的接口和内部单元内。例如,clock-domain交叉验证(CDC)——一个关键问题是大量的不同块粘在一起成为今天所有soc审核要求。

我认为沃利是注意多引擎验证作为一个关键的推动者戒指真的,这是我的观点,它实际上和特定于应用程序的验证携手并进。一旦验证问题成为良好定义的,它变得更容易为各种验证引擎相互通信和受益于彼此的优势。

我目睹的好处与形式分析静态结构分析相结合,实现自动规范和高分辨率的调试。我也认为静态技术和模拟之间的联系是唾手可得尚未部署在设计流。例如,在clock-domain检查和X-management的背景下,静态分析可用于仪器的testbench finer-resolution监测和覆盖率,建立约束刺激代,创建场景模拟,再现验证软点,并通过静态分析结果导出。

也是我认为DFT,提交时间约束和初始化/复位加层规范,功能和复杂性SoC验证期间也必须加以解决。虽然这些实现SoC验证的难度问题,从本质上说,他们是正交的验证问题。直到最近,所有我们必须使用时间和功能之间的分离。仿真验证功能,静态时序分析验证时间。革命在90年代,functionality-timing分离在SoC时代已经结束。它不提供足够的精密解决验证问题中一个有意义的复杂性,和更新的验证问题像异步clock-domain口岸功能的交集和时机,需要专门的技术,结合有效地验证行为的概念。

我的外卖的主题是,我们现在有能力识别正交验证在更细的粒度和担心这种分离是基于soc是如何组合在一起的。验证社会需要采取这种现象的心用在流程定义和工具开发。这种情况正在发生的一些中心验证,连接验证和一些其他的例子),但更多的是可能的。

该小组
周三上午小组”,设计和验证结束开始吗?”包括John Goodenough ARMHarry培养导师图形,奥伦英特尔Katzir加里·史密斯的加里•史密斯EDA和我,和由布莱恩·亨特的Cavium主持。一些与会者提到面向应用的验证方法导致静态技术比过去有更重大的影响。他们说这是设计师成为可能提供有意义的闭包(签收)之前的问题设计是交给验证团队。我听到观众的最大的收获是升值之前验证可能的模拟量。

我同意这个观点的特定于应用程序的验证使EDA社区有机会开发工具,提供完整的解决方案定义的验证问题,而不仅仅是工具,提供原始技术,用户必须找出如何应用。这些完整的解决方案,事实上,一个合成的各种技术已经存在多年,但他们的合成是通过的狭窄范围验证问题被解决。例如,结构分析和形式分析十分融洽地结合在一起,解决疾病预防控制中心验证问题。智能结构分析提供了一个基准的分析设计中狭窄的电路习语用来实现clock-domain口岸并创建一个正式规范的正式的分析工具。每个验证问题的狭隘范围以及结合多种技术的解决方案允许这些验证任务完成pre-simulation(即静态)。这是一个重要的作用,但还未广泛承认,增强现有的设计和验证过程。

的论文
大量的论文提出了在技术会议。

斯图尔特·萨瑟兰自称SystemVerilog向导和顾问,提出论文6.2标题。“我仍然爱我的X !X(但是,我希望我是一个乐观主义者,一个悲观主义者,或取消?)”他讨论的主题管理验证的复杂性的存在未知数(X)的模拟。在我看来,这一次的问题是现在消费更多的验证预算在SoC时代电源管理已成为普遍,随着功能重置已变得更加复杂,而每一块设计成一个芯片是远从系统级约束和规范。因此,X-management已经成为一个单独的确认签字的关注比例。

一些背景:X在RTL模拟可能导致错过了虫子。这种担心导致验证团队模拟X的门电路级。的缺点,除了门电路级模拟非常缓慢的现实,是X门级仿真导致仿真结果中存在的悲观,例如X的传播比确实是必要的。验证工程师现在必须首先找出门级仿真结果中存在X是否真正弄清楚之前是否有错误的设计。简而言之,门电路级仿真解决方案管理X不规模的SoC的时代。

静态分析在过户级别有可能突出RTL代码可能导致RTL模拟大户小姐bug。大户的特定于应用程序的解决方案发现bug的形式分析X传播,然后仪器testbench大户,所以问题在RTL细分辨率模拟突出显示。

在我看来,这可能是一个更有效的方式去找到这些错误和大负荷下验证团队通过删除另一个门口的原因来模拟水平和消耗宝贵的验证时间。

然而,X管理和重置分析挂钩的臀部,因为许多X在模拟来自未初始化的人字拖,相反,X在模拟的缺陷妥协的能力到达一个清晰的理解的resetability设计。

广告纸(1 28日)题为“使用正式的技术验证SoC重置计划”从联发科和导师图形涉及到的主题有重置计划变得更加复杂多样的组件集成到一个SoC和重置网络优化成为一阶目标。这与这一趋势well-scoped狭窄的验证问题可以有效地利用形式分析的力量。

会议9解决验证电源管理解决方案的主题和纸9.2题为“权力意识到soc验证策略”柏树半导体很全面。它强调的好处使用常见的电源管理规范验证和设计,以及使用RTL电源管理验证。本文提供了完整的电源管理问题列表和建议早发现bug。我发现它是一个优秀的引物对EDA公司希望建立一个明确的特性列表的电源管理产品验证。

几个文件验证解决问题看似简单组装的问题引发的IP块一起建立一个SoC。

3.2论文题为“用形式验证详尽核实SoC总成”从st - ericsson和导师图形强调这些验证问题和可行的解决方案。与各种可以用于配置每个IP块,有潜在的错误,如果没有发现早,可以让后续的全芯片的验证。让这对前期是一个重要的设计/验证过程的一部分清洁交接和系统的进步。

广告纸P1.6题为“一个可重用、可伸缩正式应用验证任何配置的3 d IC连接”从Xilinx和节奏强调正式的好处在3 d芯片上下文连接检查组装挑战更大。

这里发生了什么?
连通性检查控制/数据信号,时钟,重置,DFT信号和电源管理信号是一个基本的早期代表一个狭窄的验证关注不成比例的影响总体验证效率。结合静态结构和正式的分析很有效,几乎自动地在这一步。这种检查是确保设计进一步验证之前设置正确。

由于导航验证过程的验证问题,大部分assertion-development战斗已经获得或回避。现在很多正式的规范是隐含在验证问题被解决。尽可能多的验证工具和论文在这个会议上强调,推导的正式检查义务疾控中心,提交时间限制,电源管理,DFT、基本设置/连接,X-management / resetablity现在很大程度上自动基于RTL或抵押品必须写的代码设计。

另一方面,检查义务的压制在功能方面已经达到的。即便如此,正在取得良好进展。例如,真正的意图开创检查自动的提取基于典型的RTL成语强调基本的故障。为例,僵局/遥不可及的FSM状态/转换,不良网/注册/表情,遥不可及的控制流分支,X-assignments等等,作为优秀的设计错误的警告。这些检查可以自动提取从RTL和正式检查前模拟。节奏,导师图形,贾斯帕和Atrenta提供类似的功能不同程度的检查决议和分析疗效。

3.1论文题为“使用正式的验证来验证法律配置,发现设计缺陷和改善testbench和软件规范”从Xilinx和节奏提取检查自动从RTL的好处之前,检查他们正式模拟。我认为他们做得很好解释这种方法的好处。

Atrenta教程了题为“实现可见性使用断言合成功能验证过程,“描述他们断言合成方法。碧玉,Synopsys对此,节奏和导师图形还声称提供这种能力。理论上,模拟输出是一个很好的资源开采中断言,覆盖目标和约束。

进一步进军自动断言捕捉更高层次的目标功能仍然是一个在EDA社区工作进展。生成的断言仍然是低质量的,需要太多的人工检查。即便如此,这些自动生成的断言发挥有益作用的设计提供重要的见解。在我看来,这是一个步骤,即使增量,在正确的方向上移动。最好是提供一个列表,提示验证工程师比完全依赖他们的创造力和通常不完整/不完美的设计知识来断言。

进一步细化自动functional-assertion生成过程是必需的,断言生成仅限于一个狭义的范围和更好的理解设计习语的关注点分离协议遵从性、数据的完整性。这种方法是在报纸上看到2.1题为“克服AXI异步桥验证挑战AXI Assertion-Based验证IP (ABVIP)和正式Datapath公司记分牌”st - ericsson和节奏。

1.3论文题为“在形式验证验证覆盖率和加速验证关闭在uci覆盖互操作性标准”提出了碧玉。统一报道互操作性标准(uci)是一个重要的倡议得到静态和基于仿真技术更有效地沟通。它提供了很好的介绍UCIS-based来回的好处assertion-based正式的验证和testbench-oriented模拟之间的沟通。指出唾手可得的验证,让模拟器知道遥不可及的目标,这样模拟周期不会浪费试图掩盖,亦然,模拟器的形式分析知道目标已经达到。进一步提高效率和过程改进了基于细决议正式和仿真结果的解释。最终,uci允许组合表示用户从模拟和正式的结果分析。

这一趋势
最后,静态分析的程度和特定于应用程序的验证已强调了本教程的主题。多达四个教程覆盖了话题:

•教程3:“低功耗设计、验证和实现与IEEE 1801趟”从导师图形。
•教程8:使用断言“实现可视性功能验证过程合成”Atrenta。
•教程9:“正式的低功耗方法验证”贾斯帕
•教程10:“RTL Pre-simulation验证签字”的真正意图,Calypto线头和事实上的静态覆盖技术,自动功能正式X-management和resetability分析,署约束检查和管理,clock-domain检查,电源管理优化和验证和DFT验证。

我听到这四个教程的静态分析和特定于应用程序的验证已经深深扎根。

首席技术官pranav莎是在真正的意图。



留下一个回复


(注意:这个名字会显示公开)

Baidu