中文 英语
系统与设计
的意见

用不妥协的DFT拥有一切

基于总线的扫描数据分布架构实现了真正的自底向上的DFT流。

受欢迎程度

当今大型复杂soc制造测试时间的急剧增加,根源于使用传统方法将扫描测试数据从芯片级引脚移动到核心级扫描通道。引脚多路复用(mux)方法适用于较小的设计,但随着当今soc上核心数量的增加和设计复杂性的增加,可能会出现问题。DFT工具的下一次革命将减少测试时间、测试成本和DFT实现工作,通过将核心级DFT需求与芯片级测试交付资源解耦,消除了pin-mux方法的挑战。

pin-muxed方法的挑战

将核心级扫描通道连接到芯片级引脚的一种常见方法是让mux网络决定哪些核心直接连接到芯片级引脚。这适用于较小的设计,但随着核心数量的增长、层次结构的增加以及设计变得更加复杂,这就成了问题。它为有效地并行测试内核以节省时间和成本带来了障碍。挑战包括:

  • 有限的IOs可用于扫描测试
  • 核心层面的渠道有限
  • 核心测试是在设计过程中决定的
  • 来自额外扫描通道的路由拥塞的可能性

通过使用自底向上的方法解决了这些挑战,DFT工程师在流的早期分配固定数量的扫描通道,通常每个核心都有相同的数量。这是最简单的方法,但它最终会浪费带宽,因为分组在一起进行测试的不同核心可能具有不同的扫描链长度和模式计数(图1)。


图1:在分层DFT流中,对mux网络投入较少的精力可能导致次优带宽使用。

解决这个浪费带宽问题以节省测试时间的一个解决方案是重新分配扫描资源,但是这样做涉及到重新配置压缩、重新路由扫描通道和重新生成模式(图2)。


图2:构建更复杂的mux网络以更好地对齐扫描通道输入和输出将节省测试时间,但以实现工作为代价。

额外的努力是否值得节省测试时间?每个DFT团队必须决定这些权衡。

传统的pin-muxed方法在处理平铺设计时也不是很有效,因为在通过核心路由扫描通道方面存在挑战。

流扫描网络法

一种新的在soc上分布扫描测试数据的方法——称为流扫描网络(SSN)——减少了DFT的工作量和测试时间,完全支持平铺设计和相同核心的优化。SSN方法基于将内核级测试需求与芯片级测试资源分离的原理,通过使用高速同步总线将分组扫描测试数据交付到核心。

每个核的扫描通道数量与SSN总线的宽度和芯片级的扫描通道数量无关,也与设计中的核数量无关。以这种方式交付测试数据简化了计划和实现,并允许在流的后面定义核心组,在模式重新定位期间,而不是在初始设计期间。SSN体系结构是灵活的——总线宽度由可用的扫描引脚数量决定——并且缓解了路由拥塞和时序关闭,因为它消除了顶级测试模式muxing,这也使它成为基于相邻瓦的设计的理想选择。

SSN体系结构的一部分是在本地生成DFT信号的核心级主机节点。主机节点确保从SSN总线获取正确的数据,并将其发送到扫描核心的输入,并将输出数据放回总线。每个节点根据使用IJTAG (IEEE 1687)完成的简单配置步骤知道要做什么以及什么时候做。使用SSN方法,哪些核心组将一起测试,哪些将按顺序测试是可配置的,而不是硬连接的。配置作为每个模式集一次的设置步骤完成,一旦完成,SSN总线上的所有数据都是有效负载。

什么是分组扫描测试数据交付?

以两个核同时测试为例(图3)。Block a有5个扫描通道,Block B有4个扫描通道。数据包是在被测试的两个核上执行一个移位周期所需的数据总量。所以这个例子中的包大小是9位。然而,有8个引脚可用于测试,因此SSN总线是8位。


图3:同时测试两个区块。在pin-mux扫描访问方法中,这将需要9个芯片级扫描输入引脚和9个扫描输出引脚。SSN的包大小是9位,在8位总线上传递。

图3左侧的表格显示了数据是如何通过同步SSN总线传输到核心的。传输所有数据需要两个周期。第一个SSN总线周期为Block a交付一个移位周期的所有数据,Block B必须等到第二个SSN周期。主机节点知道与该核心对应的数据在总线上的位置,以及何时触发移位时钟。

SSN如何减少测试时间和测试数据量

SSN包含一些减少测试时间和测试数据量的功能。一个是独立移位和捕获。在许多重定向方案中,所有受影响核心的捕获周期必须对齐。如果多个内核同时移动(图4),并且它们具有不同的扫描长度,则需要填充一些具有较短链的内核,以便同时对所有内核执行捕获。使用SSN,主机节点经过编程,使得每个核心都可以独立移动,但是一旦所有核心完成扫描加载/卸载,捕获就会同时发生。


图4:当捕获周期必须对齐时,一些核心需要填充,这是对数据和测试时间的浪费。

SSN也有带宽调优。它不需要像每个包的扫描通道一样移动那么多位,而是可以为一个需要更少数据的核心分配更少的位。对于具有较少模式的核心,每个数据包分配的数据更少,这最终减少了测试时间。

SSN是一种可扩展的方法,用于测试任意数量的相同内核,具有恒定的测试数据量和测试时间。对于相同的核心,修复电路包含在每个主机节点中。提供给相同核心的数据是扫描输入、预期数据和掩码数据。这使得SSN可以在每个核内部进行比较。所有相同内核的累积状态然后在SSN总线上转移出去。每个核的通过/失败位也在主机中捕获,并通过IJTAG扫描出来。

总结

SSN是与几家领先的半导体公司合作开发的。我们在2020年国际测试会议上与英特尔一起提交了一篇论文,描述了该技术,并展示了英特尔验证SSN的一些关键结果。与pin-muxed解决方案相比,测试数据量减少了43%,测试周期也减少了43%。与SSN相比,设计和重新瞄准流程中的步骤要快10 -20倍。

SSN消除了有效的、精简的实现流程和最小化测试成本之间的权衡。



留下回复


(注:此名称将公开显示)

Baidu