中文 英语

文化冲突在模拟

不同的设计视角开始爬到模拟世界与宏观思想系统工程师进入市场和培训。

受欢迎程度

模拟/混合信号世界正在被惊醒的新工具,让更多的年轻工程师与新的和更广泛的方法,和强调改变方法改善上市时间。

模拟和数字工程师从来没有见过目光。模拟团队利用技术已经存在,在某些情况下,几十年来,尽管数字团队严重依赖于可用的最新技术。虽然他们在混合信号共存的环境中,他们对他们的工作在很大程度上已经独立。

作为新一代的改变工程师进入市场,提供新的方法来设计和创建中断在半导体和工具提供商。

“卫兵换岗的人在设计电子产品,“说Darrell Teegarden机电项目经理在系统性红斑狼疮systemvision.com团队导师图形。他说有一个阶跃函数变化正在发生的数量变化对设计团队。

“今天毕业的工程师是一个不一样的品种比当我们毕业的时候,“Teegarden说。“他们的预期,他们的工作方式——所有这些都是非常不同的,这是改变很多动力工具的公司,也或许更重要的是对半导体公司。它们必须是系统工程师。他们的课程在大学现在是全面的。你不要只专注于晶体管设计。高级项目他们做整个系统的硬件和软件和传感器和致动器。它与互联网的东西。他们想使自动驾驶汽车一位高级项目。他们的野心是一样荒谬。他们阴谋这些神奇的事情,因为他们不知道任何更好的和他们期望的。 It’s that design perspective of whole systems, and then it’s also the expectation of how the world works, like stuff should be free. This is a challenge for companies. But that’s part of the disruption, and with disruption comes opportunity.”

明白这是领导,以及它如何影响模拟建模,不妨看看今天的模拟建模。

“如果你说在纯粹的模拟验证,模拟建模通常指Verilog-A,工程师旨在创建一个模型的模拟块可以描述物体的行为和它的一些非线性的影响,“海琳Thibieroz说,高级员工营销经理Synopsys对此。“这是严格意义上的上下文中的模拟或RF -模拟验证和混合信号不是。”

有些工程团队系统级与Matlab联合仿真方法做尝试做一些更高层次的建模,她解释道。“如果你现在模拟建模的概念扩展到混合信号,你需要创建一个行为模型在速度和准确性,不同的标准。第一个是Verilog-AMS,这是一个语言包括模拟和数字混合的构造。这种方法最初是主要使用的模拟设计师旨在扩展他们的混合信号流。”

问题在于,与数字化工具和标准不同,模拟从未可伸缩。Thibieroz解释说,“作为Verilog-AMS模型解析由混合信号模拟器的设计代码内部分割成一个数字部分是由一个数字驱动模拟器,模拟部分是由模拟电路模拟器。结果通常是合理的准确性的性能加速。Verilog-AMS,然而,一些局限性,采用这种语言的挑战,尤其是对现代soc:很难规模需要专业知识和模型校准,需要人们的专业知识来创建这些模型(有人理解这两个模拟和数字语言以及他们试图阻止行为模型),你需要调整这些模型与香料同行对每个过程或技术改变。”

实数建模提供了行为建模的第二代数字模拟器模拟行为模型在数字域使用离散模拟真实值。最终的结果是一个相当大的加速,但精度较低。“例如,”她说,“真正的数字建模不准确地代表模型有明显的反馈循环。结果,这种方法只采用了功能验证但不是建模高精度模拟块。实数建模也有一些现有语言的局限性,主要是缺乏支持用户定义的类型,可以保存一个或多个真正的决议和用户定义的函数,而没有真正的电流和电压之间的关系。所以取消这些限制,一个新的建模方法,系统创建Verilog nettype:它提供了所需的增强现代混合信号SoC验证(例如用户定义类型,真正可以持有一个或多个值)因此提供了相同的性能和精度。然而所有的这些模型,还有一个至关重要的需要验证这些模型与香料同行。”

出于这个原因,它往往成为具有挑战性的数字验证团队仅仅依靠这些模拟模型在做验证。模拟和数字之间的交互变得越来越复杂和行为模型可能无法完全代表的真实交互模拟块与SoC的设计水平。

根据设计的应用和精度的需要,实数模型可以被依赖,或某些块可能需要作为香料块,Thibieroz指出。她指着某些用户,只采用实数模型的模拟块作为模拟块很小的复杂性及其传递函数相当线性的。“关键块需要香料准确性或与电源管理,其他用户选择不使用模拟模型但直接与SPICE模拟块模拟电路的真实行为,因此完全捕获任何相互作用模拟计算机和数字计算机两种。”

这些模拟块然后集成在数字验证方法使用技术,允许设计师来扩展数字概念断言和跳棋等模拟,导致真正的混合信号验证这两个数字和模拟同时被验证。在这个紧要关头,高通将流他们使用vc开发的AMSAMS团体活动下周在奥斯汀。

语言的价值
不过,Teegarden建模语言有一个高水平的信心。“今天,你有无处不在的香料变体都可用的技术进步的是早期的70年代,令人惊讶的是仍然有很多你可以做香料。但是我们过去的方式,限制和要求比现在要大得多。建模语言是一个很大的帮助。这些语言已经存在了一段时间,他们只是最后交付。”

但它也需要大量的努力来有效地使用它们。“如果你看到人们在做的现在,在集成电路设计方面做IC设计的人,这并不是说VHDL-AMS或Verilog-AMS真的失去动力,”他说。“这是自然而然地说,“如果我要模型相结合的香料和HDL水平和RT水平——人们只是不使用这些语言之间因为大量的工作。不是因为他们的语言不是不做那项工作。因为这是一个大量的工作。你宁愿把硬件和时间和香料的晶体管水平模型,模型数字RT级别的东西,然后将它混搭在一起。所以语言。他们只是没有使用,因为这些问题。”

在开发方面,人们正试图利用的东西——那就是甜点是这些语言,Teegarden建议。”集成电路为一个男人不想把晶体管级IP模型在互联网上。这不是一个好主意。即使你做了,太慢了。这不是一个阻抗匹配你需要解决的事情,这就是硬件描述语言在他们最好的。的商业模式,不工作,技术很好。”

可以肯定的是,这是一个复杂的任务。从一开始的设计,工程团队必须知道是谁要做什么,哪些部分需要建模,以何种方式。

“这是一个问题的投资,因为传统上人们尝试运行很多模拟和指导测试但是他们没有一个好的测量的报道工程主管,说:“姆Nizic混合信号解决方案节奏。”,他们没有反馈回路说,“我需要一个测试,以增加我的报道,我负责管理风险。“换句话说,如果我编写另一个测试,我真的不知道我多添加到验证。这就是覆盖和metric-driven方法是很重要的。”

如何把它应用到模拟?“四五年前,当你提到一个模拟人覆盖指标,他们会看着你,他们的眼睛,说,“那是什么?“今天,如果你读工业和来自用户的会议论文,你会看到很多工程团队在模拟使用断言,和行为模型的上下文中在晶体管级总体混合信号验证,并收集覆盖率和做验证计划和测试开发改进和提高覆盖率。这是一个非常好的一步,”他说。

模型的问题
但在应用这种方法是一个传统的障碍模型是必要的。“特别是在模拟,很多设计仍然是自下而上进行,很容易插入我的晶体管级描述模拟块,“Nizic解释道。“但这减慢模拟,试图与所有这些功能验证复杂的芯片不同的权力模式和运营模式并不实用。所以我需要写一个模型。现在,谁写的模型?负责编写模型是谁?有多容易编写模型?我该如何写模型的可重用?然后,我如何确保模型是保持最新与任何规范的变化,如果是自顶向下或自底向上的吗?我们看到很多用户开始犹豫,但当他们意识到的好处metric-driven方法中,通常有一个冠军,学习语言,学习如何代码模型,学习如何建立模型验证,然后迅速地扩散开来。 Often, design and verification teams either have a very specialized modeling team that works with the rest of the designers to come up with these models, or sometimes designers themselves create some of the models.”

Thibieroz同意了。“传统上,您将看到一个模拟和数字验证团队而不是一个人在混合信号验证管理器,即人是模拟和数字之间的联系,并对这两个领域的理解。模拟团队将建立模拟模型来表现各种香料块和描述那些使用一个典型的模拟验证。数字团队将采用模拟模型包括在顶层仿真用很少的知识如何创建那些块或校准。的问题是经常断开,与模拟模型会使用的数字团队在数字验证一个上下文,这不是与模拟验证。所以测试条件在顶层比块级别是不同的。模型本身可能不包括所有可能的模拟和数字之间的相互作用发生在顶层。这样,你开始看到越来越多的模拟和数字之间的协作团队,有一个日益担忧他们使用的准确性和校准模型。”

工具厂商一直在努力理解这些交互和提供解决方案。导师图形有其systemvision.com,模拟/混合信号传感器和致动器利用VHDL AMS。Synopsys对此,VCS-AMS。和节奏,它是艺术大师AMS设计师。

随着新标准工作目前正在进行,模拟/混合信号设计空间正在迅速改变。满足新老数模的界限,他们是否想要。



2的评论

凯文 说:

模拟器的问题像VCS-AMS之一(和类似产品)是它的一个盒子里的“两个模拟器”的实现,而不是一个统一的模拟器的-

Thibieroz解释道,“随着Verilog-AMS模型解析了
混合信号仿真器,设计代码内部分裂成一个
数字部分是由一个数字驱动模拟器,模拟部分是由模拟电路模拟器”。

你也可以告诉,有多个仿真产品,和VCS-AMS两针。如果你有一个统一的模拟器只能有一个。

问题是,数字部分通常是仿真的框架,它不知道如何处理模拟的东西,所以你完蛋了关于做离散建模模拟事物的(数字)权力自上而下流动,即建模不工作因为(说)wreal /实数模型处理V或我,而不是V和我在一起,我和权力是V *。

这是一个可以解决的问题,但EDA公司倾向于出售多种产品在一个统一的授权特性产品,所以它可能不会被固定到开源社区开始使用Xyce作为框架的混合信号(说)伊卡洛斯Verilog或gHDL。

安Steffora Mutschler 说:

非常感谢你的见解,凯文。

留下一个回复


(注意:这个名字会显示公开)

Baidu