中文 英语
18l18luck新利
的意见

创建一个精确的FEOL CMP模型

芯片生产过程建模是研究多层叠加效应和检测热点的有力工具。

受欢迎程度

文/鲁本·古尔加扎良,杰夫·威尔逊,艾哈迈德·阿布扎伊德

几十年来,半导体制造商一直使用化学-机械抛光(CMP)作为介质和金属层平滑和平整(平面化)的主要技术。CMP建模允许设计和制造团队在实际CMP工艺应用于晶圆之前发现和修复潜在的平面化问题。目前,CMP建模被广泛用于浅沟槽隔离(STI)和线后端(BEOL)层的热点检测,作为制造(DFM)流程设计的一部分(图1)。

fig1_cmp-modeling

图1。CMP虚拟制造世界。

然而,随着每一个新技术节点的出现,集成电路的关键尺寸不断缩小,对晶圆平面度和聚焦深度(DOF)的限制提出了更高的要求。例如,在20nm工艺中,多模制程要求创造了一套全新的设计约束条件,以实现成功的双模制程。在45nm工艺节点引入末栅高k金属栅(HKMG)技术后,在生产线前端(FEOL)工艺流程中引入了两个新的CMP步骤——聚开平面化(POP)和替换金属栅(RMG)[1]。在20nm及以下,这两个CMP步骤对于形成晶体管的栅极尤为关键,因为仅几个原子层的栅极高度的变化就会导致可测量的晶体管性能变化[2]。由于多模式,光刻的成本越来越高,结合更苛刻的焦点深度(DOF)要求和HKMG CMP步骤的增加的关键,扩大了在高级节点的FEOL CMP建模的兴趣。

让我们来看看构建一个有效的FEOL CMP模型需要什么。

测试图案和芯片

测试芯片在精确CMP建模的发展中起着至关重要的作用,因此构建任何新的CMP模型的第一步都是设计测试模式和制造测试晶圆。在20nm技术节点引入的限制性设计规则有助于提高布局的一致性,但使FEOL CMP不可能在阵列块中使用长平行沟槽。为了代替沟槽,我们为STI、POP和Al RMG CMP步骤创建了特殊的测试模式,这些模式由相似方向的矩形的规则模式组成,在水平和垂直方向上由各种间距值分开。这些矩形大小的不同组合以及它们之间的空间为测试模式提供了各种各样的密度、宽度和空间组合。由于HKMG和Al RMG技术的特殊性,POP和Al RMG的测试芯片图是相关的。对于POP建模,反(或负)的多聚层用于氧化沉积和抛光,而正的多聚层用于Al RMG步骤。在Al RMG技术中,牺牲的多晶硅(聚)层被去除,取而代之的是Al层,然后抛光。图2说明了这两个步骤。

fig2_ai-rmg

图2。Al RMG技术截面图:(a)多晶硅图案化;(b)氧化物沉积和持久性有机污染物;(c)去除聚,沉积铝,抛光。

测量和数据收集

CMP建模的下一步是从测试晶圆中收集数据。测量方法包括原子力显微镜(AFM)或其他剖面仪工具扫描,透射电子显微镜(TEM)或扫描电子显微镜(SEM)横截面图像。这些测量数据是模型构建过程的重要组成部分,因为CMP建模并不完全由抛光模型组成。它还包括用于预cmp剖面生成的沉积模型。建立一个准确和完整的CMP建模过程的一个挑战是在抛光之前生成一个预CMP轮廓。

在20nm及以下工艺中,高密度等离子体化学气相沉积(HDP-CVD)填充间隙变得越来越具有挑战性,因此新的沉积技术出现并成为标准。可流动CVD (FCVD)、高展弦比工艺(HARP)和增强型HARP (eHARP)沉积技术显著提高了薄膜的间隙填充能力,从而获得了长弦比为10:1及以上、具有无间隙填充特征的致密薄膜。使用现代设备,可以获得高质量的AFM或高分辨率剖面仪(HRP) 2D和1D扫描沉积后(pre-CMP)剖面。然而,由于多阶段过程的复杂性,建立基于物理的芯片级沉积后剖面高度模型仍然是一个挑战。

后CMP模型捕捉了CMP过程完成后的冲刷和侵蚀等效应。由于20nm FEOL层的特征尺寸非常小,因此使用线扫描来获得cmp后步骤(STI, POP和Al RMG)的精确碟形测量数据具有挑战性。由于Platen 1之后AFM线扫描的噪声水平很高,很难获得干净的冲刷和冲刷信号。碟形信号要么是模糊的(由于AFM或其他剖面仪工具的大步长),要么是与测量中的噪声相同。TEM和SEM图像提供了有价值的信息,但这种测量既昂贵、耗时又有局限性。

模型假设和解决方案

尽管存在这些挑战,我们在FEOL层的CMP建模方面取得了重大进展,使用Calibre CMP ModelBuilder工具构建模型,并使用Calibre CMPAnalyzer工具使用模型来模拟布局。模尺度Calibre CMPAnalyzer工具使用从布局设计中提取的密度、宽度、空间和其他几何网格进行CMP模拟。一个特殊的命令支持适当的几何掩模转换和替换,以建模Al RMG步骤,这允许在CMP模拟中连续多层POP和Al RMG层。

对测量数据的分析表明,FEOL层的沉积后剖面高度变化非常小,而BEOL层的电化学沉积(ECD)剖面变化则相对较小。正因为如此,我们不认为在Platen 1步骤后会有任何显著的侵蚀,这一假设被AFM线扫描分析证实了。因此,我们可以通过假设一个简单的沉积后剖面模型(图3),从后platen 1步骤开始建模。

fig3_schematic-of-polishing

图3。(a) STI、(b) POP和(c) AL RMG抛光层叠加的横切面视图。

这种简化使用户克服了收集沉积后和platen 1步骤建模所需的精确测量数据的困难。此外,导致浇口高度变化的盘蚀和冲蚀主要出现在CMP最后一步,即用高选择性料浆[4]抛光两种材料(氮氧化物、Al-oxide、Cu-oxide等)。测量结果表明,可以为这最后的CMP步骤收集准确的线扫描数据。图4显示了使用Calibre CMP ModelBuilder产品从线扫描中提取的侵蚀和冲刷数据。

fig4_cmp-modelbuilder

图4。从线扫描中提取的侵蚀和冲刷数据。

模型校准

CMP建模是工艺数据和实际硅测量的结合。工艺数据包括诸如层叠厚度、下行压力、抛光速率、浆料选择性、抛光时间和终点检测(EPD) CMP停止条件等项目。这些数据与从TEM或SEM图像中获得的侵蚀、冲刷和厚度数据相结合。图5显示了一个组合模型配方,包括沉积、蚀刻、抛光步骤和测量数据表。

fig5_cmpmb-table-recipe

图5。测量数据表和配方窗口。

建模EPD CMP停止条件对于识别热点至关重要,因为大多数现代抛光工具使用不同的EPD系统[5]。我们使用的Calibre CMP ModelBuilder工具支持各种EPD CMP停止条件进行模拟。利用这些数据,它校准CMP模型参数,以最佳地拟合给定工艺条件的测量值。

在开始模型校准之前,必须从测量数据中去除异常值。由于测量中的噪声,异常值可能导致较大的校准误差和不匹配。特别是在28nm及以下的技术上,测量精度接近轮廓仪工具的精度极限。在某些情况下,数据中的噪声级别可能与侵蚀和冲刷信号的级别相同。应仔细进行数据分析,以排除有争议的TEM/SEM和线扫描测量数据中的异常值。下面的例子显示了正确校准的CMP模型的结果,该模型使用TEM和线扫描数据的组合来准确和正确地拟合测量数据。

在图6中,STI CMP模型的建模结果与TEM和线扫描数据进行了比较。总体趋势被捕获并显示出良好的拟合(相关性为90%)。当考虑到侵蚀数据有噪声时,这些结果尤其积极。

fig6_sti-data-fitting

图6。STI CMP模型数据拟合。

图7显示了POP CMP模型的校准结果。蚀蚀和冲刷数据与线扫描和透射电镜数据吻合良好。此处拟合相关性超过90%。

fig7_pop-data-fitting

图7。POP CMP模型数据拟合。

图8显示了Al RMG CMP模型在最后一步CMP后的校准结果。浇口厚度和冲蚀量均与线扫描和透射电镜测量值吻合,相关性均超过90%。

fig8_erosion-gate

图8。Al RMG CMP模型数据拟合。

图6、7和8所示的数据说明了模型预测与模拟的一般拟合趋势。如前所述,仅使用线扫描数据是不足以精确地建立模型的,因为提取的碟形值是有限的,侵蚀数据是有噪声的。由于成本和通常可用的TEM/SEM x断面图像数量有限,仅依靠TEM/SEM数据也很困难。

模型验证和热点预测

使用测试芯片的测量数据校准模型参数后,下一步是模型验证。模型验证需要将模拟结果与生产设计的测量结果进行比较。根据模型用户的需要,可能需要几个验证标准。最广泛使用的标准是地形趋势依赖于图案密度,金属或氧化物厚度值与测量值匹配。

为了验证示例中的模型,我们在产品设计上执行了几个多层STI/POP/Al RMG FEOL CMP模拟。然后,我们使用Calibre CMPAnalyzer工具分析cmp后的地形图颜色图和直方图(图9)。分析表明,模型准确地揭示了正确的模式密度与地形趋势的关系。此外,我们的模拟清楚地表明了最高和最低的地形区域(即设计中可能的地形热点),这取决于平面度要求。

fig9_cmpanalyzer

图9。多层的颜色图和直方图视图有助于验证模型的准确性。

我们的模拟表明,在某些设计中,多层STI/POP/Al RMG FEOL CMP模拟热点的栅极高度可能是由STI层中向上层传播的大剖面变化引起的。

结论

最后一门HKMG技术引入了晶体管结构和制造流程的根本变化。它允许栅极长度缩放和晶体管性能遵循摩尔定律的性能提升,同时显著降低芯片功耗。由于芯片设计、物理验证和制造的复杂性,不同芯片生产步骤的建模,如光刻、光学接近校正(OPC)、蚀刻和CMP,对于晶圆代工厂的芯片设计师和工艺工程师来说是一个强大而有用的工具。使用本文介绍的CMP模型构建方法,我们能够创建高质量的STI、POP和Al RMG FEOL CMP模型。该模型准确地预测了测试芯片和生产设计的测量数据。结合多层FEOL模型可以研究多层叠加效应,为设计人员和代工工程师检测由单层或多层设计细节引起的生产设计热点提供了强大的解决方案。

参考文献

[1] K. Mistry, C. Allen, etal .,“45nm逻辑技术与高k+金属栅极晶体管,应变硅,9 Cu互连层,193nm干模式,和100%无pb封装”,IEEE国际电子器件会议,华盛顿特区,2007年,p 247。

[2] M. Krishnan, J. W. Nalaskowski,和L. M. Cook,“化学机械平面化:泥浆化学,材料和机制”,化学。修订版2010,110,p 178。

[3] U. Katakamsetty, D. Koli, Y. Sky, H. Colin, R. Ghulghazaryan, B. Aytuna, J. Wilson,“20nm CMP模型校准与优化计量数据和CMP模型应用”,SPIE卷9427,doi: 10.1117/12.2085728, 2015。

[4] R. Ghulghazaryan, J. Wilson, K. Izuha等,“在设计流程中使用高精度自停止Cu-CMP模型”,SPIE Proc. vol. 7641, 2010。

[5] T. Bibby和K. Holland,“CMP端点检测”,电子材料学报,vol. 27, No. 10, 1998, p.1073。

Ruben Ghulghazaryan是Mentor Graphics设计到硅部门的首席研发工程师。他在理论和应用物理研究方面拥有丰富的经验,并发表了大量的行业和学术出版物。他在埃里温国立大学获得理论物理学和生物物理学硕士学位,在埃里温物理研究所获得物理学博士学位。

Jeff Wilson是Mentor Graphics Calibre组织的DFM产品营销经理。他负责开发分析和修改布局的产品,以提高设计的稳健性和质量。Jeff曾在摩托罗拉和SCS工作。他拥有杨百翰大学(Brigham Young University)设计工程学士学位和俄勒冈大学(University of Oregon)工商管理硕士学位。

Ahmed AbouZeid是Mentor Graphics公司硅设计部门的IC设计顾问工程师,专门从事物理验证。他有实际的行业和学术经验,专注于半导体设计,物理验证和EDA工具开发,包括PDK和制造工艺开发。他在亚历山大大学获得电气、电子和通信工程学士学位,在尼罗河大学获得微电子系统设计硕士学位。



留下回复


(注:此名称将公开显示)

Baidu