周评:设计,低功耗

集成电路/包合作设计;探索开放RISC-V核心;台积电(TSMC)认证。

受欢迎程度

工具和知识产权
公布了集成电路/包合作设计工具,GENIO。GENIO集成现有硅和包EDA流向创建完整的合作设计和I / O优化复杂multi-chip设计。它无缝地在所有现有的EDA流和由地板规划、I / O规划和端到端互连规划结合cross-hierarchical寻路优化。成立于2014年,罗马的“起步HIPER项目在欧洲的地平线2020资金。

BlueSpec首次亮相RISC-V探险家,一个免费的工具集评估和比较预应力,RISC-V开源产品的预构建的核心。第一个在一系列的计划版本封面RV32IMAC芯的芯片联盟火箭和Bluespec的长笛和短笛内核。未来版本将增加从OpenHW核心集团CV32芯片联盟SweRV Incore铬铁矿,和Bluespec马格里特。即将到来的版本将支持连接到低成本艺术a7 - 100 t FPGA板高速执行和调试。

节奏推出了其UltraLink D2D PHY IP的台积电N7和N6流程。在台积电测试硅N7过程完整的硅特性数据现在是可用的。IP已经贴在台积电它们与测试硅可用性预期今年晚些时候。

Sofics”TakeCharge静电放电(ESD)的投资组合和模拟I / O现在可用台积电的5 nm制程技术。该公司表示,其ESD保护硅证明了客户的节点上。

交易
SimpleMachines开发了第一代人工智能处理器使用导师的企业验证平台包括,仿真平台,验证IP作为PCIe和HBM2和快速的层模拟硬件,以及导师咨询云Emulation-as-a-Service (eaa)提供。SimpleMachines引用快速开发的能力和区分人工智能系统软件前一年硅。

Chelsio采用Synopsys对此的DesignWare 56 g以太网PHY IP的发展它的SoC设计针对高性能智能网络接口卡(NIC)和服务器应用程序。Chelsio引用支持广泛的数据率从1.25 Gbps 56 Gbps以太网等标准,PCI Express (OIF, JESD。

台积电认证
Ansys的多重物理量签收的解决方案是认证台积电的3 nm (N3)过程的技术。RedHawk-SC包括电网提取,电源完整性和可靠性、信号EM,裂解炉热可靠性分析,thermal-aware EM和统计他们的预算,而图腾同样认证晶体管级定制设计。台积电也认证Ansys的红鹰和RaptorH家庭与硅CoWoS插入器(CoWoS-S)和信息与RDL互连(InFO-R)先进的包装技术。

抑扬顿挫的数字全流和自定义工具套件实现设计规则手册(DRM)和香料认证台积电的3 nm制程技术。数字流包括改进的提取精度,更新的路由规则,准确LVF-generation在表征和强劲支持先进的着色。自定义工具套件包括扩大3 nm设计规则的支持,自定义数字颜色重设主控、增强模拟细胞支持,额外的生产力改进增强设备级不流和前后legacy-node设计迁移流。台积电也认证节奏的包装参考流InFO-R CoWoS-S。最新的参考流提供了一个更高效的刚果民主共和国/签收tapeout方法。

Synopsys对此的数字和定制设计平台认证台积电的3 nm制程技术。工具由Synopsys对此已经优化过程。数字流添加支持销意识到全球路线位置和密度为更好的路由收敛标准电池建模别针,并发合法化和优化为更快的收敛时间,一个新的细胞基础设施来最大化可用的白色空间映射到改善PPA,互连优化自动生成通过支柱结构和部分并行路由HPC设计,和节能混合驱动力量multi-bit触发器为低功耗优化设计。台积电也认证Synopsys对此CoWoS-S和InFO-R设计流基于3 dic编译器统一平台,集成了Ansys的chip-package co-analysis解决方案。

事件
在我们找到一个新的会议或学习的机会183新利 ,或者查看即将到来网络研讨会

很快是2ndIEEE国际会议上人工智能电路和系统。AICAS2020将于8月31-Sept作为虚拟事件。4和神经系统功能演示,加速器,边缘应用程序设计、算法、人工智能和HW / SW合作设计。

9月3日理查德·道金斯,请参阅RISC-V全球论坛(12 am-6pm PT)找出所有最新的细节如何RISC-V ISA被纳入不同的设计。

弧虚拟处理器峰会将9月9至10关注汽车,人工智能,和高性能嵌入式解决方案。

与此同时,手臂研究峰会将9月9 - 11与关注可持续发展,科学数据,和安全。



留下一个回复


(注意:这个名字会显示公开)

Baidu