18.luck新利
的意见

更低功耗集成电路参考流

添加简单性、可预见性和灵活性的物理实现的过程。

受欢迎程度

陈特伦斯和亚历山大·沃尔科夫

Power-sensitive ICs衣物和物联网(物联网)产品市场的需求从汽车到军事/航空消费者。如同大多数ICs,成本和上市时间的压力是成功的重要决定因素。降低风险通过使用vendor-created参考流可以带来一个严重的商业优势。

参考流动是受欢迎的,因为建立一个自定义流需要时间和专业知识,介绍了风险,提高工具的所有权的总成本和tapeout时间。参考流为一个特定的技术验证了EDA供应商和工厂,让设计师专注于创造价值,而不是创建一个设计流程。

导师和联电为他们的客户提供一个完整的参考数字和混合信号设计流联电22 ulp技术。参考流包括脚本自动化流程的每个步骤,用户指南,设计数据演示(手臂Cortex-M33处理器)。

联电22 ulp过程特征面积减少10%,改善power-to-performance比率,和增强射频功能相比,该公司的28 nm High-K /金属门的过程。这个平台非常适合各种应用程序和非常适合power-sensitive ICs,需要电池寿命长。

一个易于使用的地点和路线流动

导师/联华电子参考流的核心知识的食谱集被称为硝基参考流(NRF),这是包含在导师的硝基SoC数字实现的工具。

物理实现的工具是出了名的复杂和难以使用。即使是经验丰富的设计团队会浪费宝贵的时间刚刚建立的工具,环境优化,和命令设置完善的设计。这是导师的Nitro-SoC团队挑战:创建一个流,使快速结果易于定制的盒子但是允许创建最优化结果和调优。目标是简化用户体验和可预见性和灵活性添加到物理实现的过程。NRF结果。

NRF使快速设计跟进和快速时间先结果用户以最小的努力,加上一流的低功耗优化。NRF指导place-and-route流从数据准备的所有阶段支应优化,如图1所示。NRF tape-outs证明在客户的成功。


图1:硝基参考流提供完全控制核心实现任务,涵盖所需tapeout更快的时间第一个结果和成功。

NRF擅长超低功率实现。低功耗技术包括并发multi-Vt优化、电力控制,保留失败合成和节能缓冲和大小。节能CTS最小化的时钟网络,确保平衡与最佳功率时钟树。工具支持统一格式(UPF),包括描述设计意图通过功率状态的能力定义表。

联电22 ulp参考流

物理设计参考流始于逻辑合成和收益通过平面布置图,和路由,静态时序分析,刚果民主共和国/ lv - NRF所有高度自动化的快速签收时间的结果。完整的物理设计流程如图2所示。


图2:整个手臂Cortex-M33处理器流提出了博客。

参考流包括Tcl脚本合成、优化,适当时机(DFT)和逻辑等价性检查(LEC)。DFT和LEC步骤通过导师的“底层”调用FormalPro和Tessent工具。

的地点和路线部分参考资料流,NRF呼吁一个完全可定制的和可配置的链的核心引擎给用户完全控制以更少的努力。它是基于最佳实践从多年的设计关闭知识提供竞争的结果的质量。NRF设置一个命令:setup_nrf。NRF脚本是如图3所示。


图3:NRF脚本。

除了上述主要的脚本,支持Tcl文件有三个。一个叫import_变量。tcl和用于指定设计库、技术文件,寄生提取技术文件,设计模式和角落,使用一个默认或自定义的平面布置图,包括权力/地面网格。第二个文件叫flow_variables.tcl。这个文件是用来描述流动的细节,包括:

  • 使用时间和拥堵的努力
  • 时钟树综合规范
  • 时钟缓冲库细胞
  • 时钟路由规则,包括非默认规则
  • 为每一步角落规范
  • 保持缓存库细胞
  • 低功率设置

第三个支持文件被称为NRF定制文件,可用于几乎无限流的定制。NRF定制文件的三个部分实现steps-placement CTS和路由。添加自定义Tcl,这些部分,可定制,以适应设计特点和目标。

还有另一个定制的机制,称为事件处理程序。NRF定制文件中每个部分有一个通用的事件处理程序,允许在特定阶段流的中断这定制脚本可以在继续之前执行。此外,更细粒度的事件处理程序可以插入NRF定制文件,这将导致定制Tcl任何Nitro-SoC命令后执行。利用联盟的定制功能,可以成为一个自定义流使用一个通用的基础设施,具有降低拥有成本的影响与实现相关的工具。

用户可以运行每个脚本和检查结果,以确保一切都是正确的。脚本自动运行,不需要用户交互的过程。

静态时序分析在这个参考流调用来完成Nitro-SoC内置的静态时序分析(STA),它使用的引擎导师的Optimus-DS工具。输入包括网表、约束、图书馆和中位数和DEF文件。如果设计需要优化满足时间,这可以从Nitro-SoC内部执行。

Nitro-SoC与口径本地集成引擎,所以NRF包括调用口径为刚果民主共和国和lv完毕。拥有一个完整的路由器与刚果民主共和国集成结果大大减少了物理验证ECOs和支持在实现期间检查签收。

Arm处理器演示设计

联电22 ulp参考流演示了使用一个手臂Cortex-M33处理器。Cortex-M处理器厂商系列旨在让开发人员创建和电量有限解决方案广泛的设备。优化成本和power-sensitive单片机和混合信号应用,Cortex-M33处理器设计解决嵌入式和物联网(物联网)市场,特别是那些需要高效的安全或数字信号控制。

以下规范:

  • 手臂Cortex-M33处理器,100 mhz
  • 栈- 8 m_5x_2a联电的22 ulp
  • 首次利用- 60%
  • 初始细胞计数- 58 k

实现的角落是以下:

  • 最好:CMIN 0.99 v + 125 c, 1.1 v + 125
  • 最差:v-40c v-40c CMAX 0.81, 0.9
  • 泄漏:CMIN 1.1 v + 125 c

图4显示了设计合成后,刚果民主共和国,LEC、和地点和路线与硝基引用流。


图4:与NRF地点和路线的结果。

这种全数字设计参考附带一组自动化和记录的数据流和脚本运行合成,LEC, DFT,地点和路线与低功耗的设置中,刚果民主共和国/ lv,静态时序分析。导师和联华电子的客户可以修改脚本完成任何与联华电子技术设计。

参考流是一个生态系统各种半导体供应商之间的紧密合作的结果。他们为集成电路设计者提供捷径成功通过减少投放市场的时间,开发成本和风险。

阅读更多关于联电22 ulp参考流在我们的导师白皮书

亚历山大·沃尔科夫是地点和路线不具有技术专家小组的导师,西门子业务。



留下一个回复


(注意:这个名字会显示公开)

Baidu