中文 英语
18.luck新利
白皮书

探索UPF值动态对象

方法构建定制低功耗验证平台。

受欢迎程度

本文提出了一种新的低功耗验证方法,以使得它可以持续监测UPF值对象的动态属性和利用这些信息来开发自定义低功耗验证环境。基于UPF信息模型的概念,它允许任何动态属性的查询通过Tcl UPF值对象的API和对象的信息传递给适当的基于实例化SystemVerilog API的设计准则。

点击阅读更多在这里



留下一个回复


(注意:这个名字会显示公开)

Baidu