中文 英语
18.luck新利
的意见

Hyper-Convergence是新的正常的数字实现

soc设计的巧妙的平衡可以不再是传统RTL-to-GDSII流来完成。

受欢迎程度

smart-everything导致激增的时代需要半导体器件在各种传统和新颖的应用程序。这些应用程序需求高性能然而节能计算在瞬间网络服务数以万亿计的边缘设备不断消费和产生大量的数据。这个激增鼓舞系统架构师创新架构进行优化处理的工作负载使用先进的机器学习算法。因为更多的功能需要包装成一个早已高端房地产的硅片,过程工程师和包装工程师负责推动物理学的界限越来越让摩尔定律的滴答声。芯片尺寸超过十字线的出现甚至微型晶片限制过程几何图形,小说multi-die包装技术,在某种程度上,甚至芯片短缺也证明这个贪得无厌的市场动态管理需要更多的计算。

芯片系统(SoC)设计团队瞄准优化整个域的能力,性能,和区域(PPA),可靠性,成本,和上市时间(TTM)约束,SoC设计流登录他们的网站。工程师必须克服一些挑战生产高品质,有竞争力的产品在第一次生产tapeout否则面对昂贵的芯片。数字实现从RTL GDSII从而形成一个关键,这些不同的设计属性。这个巧妙的平衡可以不再是完成与传统RTL-to-GDSII流动采用分离发动机需要可憎的手动调整和冗长的迭代来实现表面的相关性在多级设计流程,因为他们爬向设计目标。这导致设计hyper-convergence流的出现。

Hyper-converged流

RTL-to-GDSII Hyper-converged流生成一个最佳解决方案通过利用统一的技术引擎,共享一个高度可伸缩的单一数据库和执行优化基于golden-signoff寄生,时间,和权力的指标。能够使用所有的引擎在任何阶段设计在同一数据流模型,利用质量量度签收骨干减少过多的相关差异,收益率预测在项目后期设计关闭没有惊喜。

为了说明这种流动的重要性更清楚,我们可以看看实现性能和功率随时间的演变在执行中项目的最佳数据库,如下面的绘制。一些细节跳出做进一步的研究。


图1:比较传统和Hyper-converged设计流。

首先,大量的时间通过与不完美的设计师在探索阶段输入建立的基础是什么来但最终PPA并不相关。这包括宏观布局等任务,特殊的净处理,流管清洗。第二,权力通常狂奔到项目的后期措施时,通常接近签收,请在预算。而动态功率和泄漏功率最小化的解决方案通常受雇于PPA-push阶段项目,基于活动的glitch-power通常会抑制,直到在项目后期。第三,最后关闭PPA-push和设计步骤需要不仅最有效的算法,而且能够快速循环流动,这样更多的分析和优化周期可以使用。

hyper-converged实现的属性

在探索和建立流动阶段,有必要快速识别正确的轨道,它将是一个良好的基础设计关闭。因此,自动化流水线寄存器等任务规划和特殊总线routing-and-buffering可以为其他有效的任务发布设计周期。高效处理早期设计的输入来生成快速结果与综合报告允许设计师遍历不同的流动变化迅速,使数据驱动的决策可以影响芯片的体系结构。machine-learning-based预测方法,预测设计师的需求可以显著提高生产力并设置成功的项目。宏观布局和先进的延迟预测几个例子,受益于训练模型,帮助指导优化引擎更高质量的结果在一个更短的时间段(QoR)从而加速项目计划由几个星期。

今天的能源效率的需求不仅限于电池装置,甚至适用于设备容易得到权力。由于时钟分布网络总是一个芯片的主要能源消费国,当务之急是power-centric的方法是构建和优化这些时钟树时使用。传统的流在过去忽略了一个关键组件的动态力量:故障能力。故障管理能力需要的结合,correct-by-construction方法用于电路的选择,和targeted-fix方法优化实例创建和传播问题。即使有先进的总功率降低技术,保持权力信封内是具有挑战性的和设计师操作操作电压随着ace袖子,以满足功率预算。一个更简单的方法来调整电压,解决热可靠性的担心源于这种动态功率的增加,同时保持性能打开新的解决方案空间的力量。


图2:PPA优化电压PPA (V)的函数。

增加的复杂性设计并不是新的SoC设计师和设计分区一直被用于有效地平衡周转时间和结果的质量。然而,随着越来越多的计算被挤进下一代芯片,单个分区大小本身变得像之前的芯片。这使得底层优化框架的负担在工具时要认识到全球的影响使地方设计更改,因为他们同时优化多个指标达到设计目标。最后流的路由阶段最为有用,因为他们代表寄生时机和基于实际金属连接,而不是估计的。因此,当务之急是加快这种迭代过程关注高投资回报率与时钟有用的倾斜和路由优化夫妇产生更快的收敛。

解决方案

RTL-to-GDSII数字解决方案实现Synopsys对此融合设计平台。它已被工程交付hyper-converged设计流关闭人类最具有挑战性的出类拔萃。solver-based全球multi-vector优化引擎使自适应设计权衡与全局上下文始终给最佳QoR整个设计流程。可用的本地库机器学习技术有助于提高运行时和优化最佳PPA。下一代多线程时钟引擎架构建设正确的低功率时钟树结构支持灵活的h树实现自动识别的tap驱动程序配置。hyper-converged路由优化提供了开箱即用的路由收敛只有一枪路线优化,使其关闭最快的设计解决方案。故障电源优化也可以执行在这个hyper-converged航迹优化步骤使用门级交换文件运行行业标准的结果分析引擎。的小说电压优化解决方案可以将电压作为额外的自由度优化功率与单一通过前所未有的低功率的结果。


图3:Synopsys对此融合设计平台。

总结

Hyper-converged流动已经成为常态和尖端设计tape-outs Synopsys对此数字实现平台使用。这种独特的解决方案确保平滑相关在整个流,最终correlates-by-construction与结果,达到最好的PPA尖端设计最好的上市时间。工具启用hyper-converged流将launchpad推动下一阶段的芯片设计AI人工智能芯片设计



留下一个回复


(注意:这个名字会显示公开)

Baidu