18.luck新利
的意见

授权UPF值与有效元素命令列表

变得更加准确、高效和一致通过理解UPF值命令和选项的固有特性。

受欢迎程度

权力统一格式(UPF)适用于电源管理,权力意识到验证,实现低功耗。我们探索的固有特性UPF命令和选项,和理解他们的相互关系,我们越准确,生产,和一致的在发展中UPF值为我们的预期目的。

虽然UPF值通过IEEE 1801 LRM很好定义,通常很难理解许多原始和个人UPF值commands-options固有的特性。在本文中,我们提供了一个简单的方法去寻找内在联系UPF值commands-options通过他们传递性质。我们还解释这些固有特性有助于培养和建立精确的UPF值之间的关系和DUT对象为了发展UPF对电源管理,实现,,,进行权力意识到验证。

有效的元素和传递的命令
UPF命令,一般来说,本质上传递。电力领域,对于任何给定的实例包括所有子实例给定实例的轨迹包含在电力领域,除非任何孩子实例明确排除在这个权力域或显式地包含在另一个权力领域的定义。

这明确排除或从实例列表中包含的终极强烈和UPF值LRM的精确定义effective_elements_list因为它影响到建筑的设计对象为UPF值对象。因此,有效的元素和UPF值的传递性质相关的命令。然而,这些排斥或包含元素列表是实际UPF值命令。

有明确的——尽管一些命令传递<真|假>作为其他命令的选项,它是隐含的语义;专门为表示电力领域扩展,通过实例或端口列表-应用策略元素或者排除一些设计与——的一部分exclude_elements。也可能隐含模型名称在指定模型属性的列表模型,指定所有的模型——库名称自由等。

有效的元素列表截图信息程度的力量领域和战略写成:

列表1:LRM外延的有效元素列表

effective_elements_list

这个列表是由以下衍生品:

列表2:组成部分有效的元素列表

element_list、exclude_list aggregate_element_list、aggregate_exclude_list prefilter_element_list等等。

element_listexclude_list的列表包含指定的元素的一个实例的命令。的effective_element_list,aggregate_element_list,aggregate_exclude_list与指定的对象相关联的命令。这里指定的对象可以是任何UPF值或高密度脂蛋白对象,给定的名称;例如,模块名或域名。

最新版本的UPF LRM 1801 - 2018还定义了一个新元素列表,称为resolved_element_list基于优先规则的决议如何变异的选择对于任何UPF值命令优先为一个特定的或通用的情况。

resolved_element_list实际上是一个集合的元素(或一个子集)effective_element_list这是由优先规则的应用。例如,一个create_power_domain命令显式地包含一个给定实例的程度应当优先于应用于一个实例(即由轨迹。适用于一个祖先的实例,因此,所有的后代)。

一个resolved_element_list将是effective_element_list或的一个子集effective_element_list如果一个给定的元素的引用effective_element_list了一个多策略。为每个元素可能最终只有一个策略应用到它,然后优先规则应使用,以确定哪些策略将被应用。一个resolved_element_list与指定的对象相关联的一组命令和代表的元素实际上受到特定的策略。

这些列表UPF命令或选项,但他们帮助权力意识到验证和分析工具识别、维护和操作相关的UPF命令和选项,如:

清单3:UPF值命令受益于有效的元素列表

元素,-exclude_elements,模型,填词,传递等。

例如,create_power_domaindomain_name -元素<列表> - - -exclude_elements<列表>本质上定义一个有效的列表,<effective_element_list>,这是应用程序的结果元素和-exclude_elements。请注意,这里,-元素{}是隐式——紧随其后传递真实的选择,虽然传递不是一个显式定义的选项吗create_power_domain命令。传递性质影响合成设计元素或<effective_element_list通过- >电源领域元素{},exclude_elements{}选项。

传递关系
让我们仔细看看传递设计元素的性质。下面的分层图和示例解释固有的UPF值语义传递真实的性质的设计(DUT)对象UPF值对象创建和映射。我们的示例设计电流范围,包含子元素B, C,以及D子元素B进一步分支E和F, G和H C分支,分支和D I和J元素,分别如图1所示。


图1所示。理解effective_element_list和暗示传递真实的趟车命令的性质。

一个显而易见的问题是“什么是< effective_elements_list >吗?“由于其传递特性,合成< effective_elements_list >将{A / B / B / E / B / F / C / H},如图2所示,一排排虚线框显示分别包括和透油字母显示排除实例。


图2。结果< effective_elements_list >create_power_domain趟车命令和选项。

隐式和显式传递命令
区分UPF值语义明确,传递从那些含蓄地传递选项吗?

让我们看两个例子,清单4和5所示。的connect_supply_set命令连接供应组和一个特定的元素set_retention_elements命令创建了一个列表的元素的集体状态应当维护是否保留应用于元素的列表。

列表4:UPF值与Explicit-transitive选项命令的例子

connect_supply_setsupply_set_ref{连接{supply_function pg_type_list}}*(元素element_list][-exclude_elementsexclude_list](及物动词(<真|假>]]

列表5:UPF值与显式传递命令选项的例子

set_retention_elementsretention_list_name元素element_list[-applies_to <必需|可选| not_required | not_optional >)[-exclude_elementsexclude_list][-retention_purpose所需| <可选>)(及物动词(<真|假>]]

这里这两个两个命令包含明确的-传递选项,但固有的传递性质通过使用也会发挥作用(元素element_list][- exclude_elementsexclude_list]或它们的组合。这意味着,UPF值——命令元素和-exclude_elements将有效的传递性质,除非-传递错误提到。

最新版本的UPF LRM 1801 - 2018定义了一些新的UPF值语义;如:sim_assertion_control控制命令的行为user-assertions在基于UPF值验证;的sim_corruption_control命令提供验证工具的能力来控制或禁用腐败语义在特定的设计元素;和set_replay_control命令来指定和控制在启动初始块的初始化。它也伴随着-传递明确。

然而,像create_power_domain,还有其他UPF的命令,如清单6所示,隐式地紧随其后传递真实的选项;虽然传递不是一个显式定义的选项。

清单6所示。其他UPF值Commands-Options传递

map_repeater_cell,map_retention_cell,set_design_attribute,set_simstate_behavior,等。

相反,UPF策略从1801 - 2013或UPF值2.1,如前所述列表7不隐式地紧随其后传递真实的选项或没有传递。

清单7所示。趟Commands-Options不传递

set_isolation,set_level_shifter,set_repeater,set_retention或端口属性命令set_port_attributes等。

的主要原因之一,传递自然并不适用于这些命令是因为UPF值策略是应用于电力领域界限(隔离、电平位移器、中继器等)或信号或连续的元素(保留),应用于港口和港口属性。也因为- - - - - -,水槽,-applies_to选择在这些策略或端口属性命令巩固aggregate_elements_list而不是effective_elements_list处理最后一组的应用策略域边界或信号或港口。

重要的是要注意,所有的命令列表7有- - - - - -传递1801 - 2009年作为一个明确的选项或UPF值2.0。

从1801 - 2013或UPF值2.1开始(即UPF值2.1、3.0或3.1),传递不再是作为一个选项或固有特性这些命令所示列表7。现在他们不传递。

不过您可以使用UPF值LRM指定和支持正则表达式的命令query_upffind_objects明确的-传递选项来填充他们的-元素{}或-exclude_elements列表中提到的命令清单7所示。这趟车正则表达式使模式匹配(它),而不乏味冗长和港口填充-实例元素{},exclude_elements{},- - - - - -港口{}列表set_isolation,或set_port_attributes命令。

甚至UPF值指定的UPF值3.0信息模型定义了一个类对象upfExtentT的财产upf_effective_extents。的upfExtentT提供了一个指向第一个元素的指针resolved_element_list所有相关UPF值对象(如功率域)和所有策略(像保留、隔离、电平位移器和转发器,等等)。即使是电源开关,它的工作原理实例选择的create_power_switch命令和UPF值功率模型(宏)apply_power_model命令。这可以用于UPF值正则表达式命令find_objects

如何利用UPF值的传递性质
现在我们将利用UPF值的传递性质,PA模拟器。显然大多数权力意识到模拟器的LRM规范是普遍的,只有编译、优化和仿真命令在工具和供应商可能会有所不同。

下面的列表显示了UPF的UPF值语法find_objects命令。

清单8:UPF值find_objects语法

find_objects范围模式search_pattern本月[-object_type <模型| | |港口supply_port |网|过程>)(方向<在| | inout >)(及物动词(<真|假>]][regexp |确切)(-ignore_case)[-non_leaf | -leaf_only](-traverse_macros)

具体而言,您可以使用find_object直接在您的设计UPF值文件找到逻辑层次对象范围内。具体地说,它将搜索设计元素,网,高密度脂蛋白中定义或港口。它作用于逻辑层次,只有搜索的范围或在下面范围-传递都是确定的。请参考下面的例子不同find_object基于搜索的模式。

示例1:Tcl水珠表达式:当regexp和精确指定

#发现对象的模式find_objects模式{一}find_objects模式{bc (0 - 3)}find_objects模式{e *}find_objects模式{d ? f}find_objects模式{g \[0 \]} #找到净类型对象find_objects模式{*}-object_type

示例2:指定正则表达式:当regexp

#发现方向输出端口类型对象find_objects模式{现代。*}-object_type港口- - - - - -方向——正则表达式

示例3:精确表达式:当指定确切

#发现过程对象find_objects模式{a_proc}-object_type过程准确

,PA支持合成样式层次路径生成模块。当生成块参与电力领域建设的区段,如图3所示,find_objects需要指定-传递真实的


图3。设计例子与生成块层次方框图。

注意,在Questa10.6和以前的版本中,find_objects还需要以下vopt选项,清单9所示,这是默认的,10.7,2019年,PA。X,向前。

清单9:设计工具选择生成模块的层次结构

vopt -pa_upfextensions = genblk

以下两个例子显示,PA在默认模式和模式当generate-block辨认通过vopt选项中指定清单9所示。

示例4:默认regexp模式

#默认模式find_objects模式{*}-object_type本月返回:上/中find_objects高级模式{*}-object_type本月- - - - - -传递真正的返回:前/中期/ gen1 /机器人find_objects前/ gen1 -模式{*}-object_type本月返回:无效的误差范围。

例5:特殊regexp模式生成块标识

# vopt -pa_upfextensions = genblkfind_objects模式{*}-object_type本月返回:前/中/ gen1find_objects模式{*}-object_type本月传递真实的返回:前/中/ gen1顶级/ gen1 /机器人find_objects前/ gen1 -模式{*}-object_type本月返回:上/ gen1 /机器人

宾夕法尼亚州,允许用户把搜索的输出正则表达式UPF值命令找到对象在一个文本文件来分析和添加或删除任何实例,港口UPF值开发过程。

清单10:工具选择倾销regexp导致外部文件

vopt -pa_dbgfindobj = <文件名>

这个页面上显示的代码示例的完整使用UPF值对象层次DUT如图4所示(一个),设计UPF值find_object图4所示的命令(b),输出的结果find_objects书面或在一个文本文件如图4所示(c)。


图4 (a)。DUT生成块。


图4 (b)。UPF值与find_objects生成块。


图4 (c)。发现的对象结果生成块。

结论
趟车commands-options本质上是通过隐式或显式——本质上传递传递选择。UPF值修正的进程从UPF 2.0到2.1,传递自然变得更现实的和一致的UPF值语义,专门为UPF的策略,以及对UPF值和DUT对象。

的传递性质query_upffind_objects使模式匹配(它),而不乏味冗长和港口填充-实例元素{},exclude_elements{},,港口{}列表对UPF值策略。即使是新定义的UPF值3.0信息模型的类对象upfExtentT的财产upf_effective_extents提供一个指向所有相关UPF值对象的识别和发展的元素列表从权力域UPF值策略——即使电源开关和电力模型(宏)。

本文中的概念和方法提出了赋予任何设计的电源管理意图识别UPF值命令的传递性质和与设计对象建立联系;如实例、港口和网。这允许工程师与电源管理更有效率和更直观的权力意识到验证。

更多信息在低功率检查使用UPF值3.0下载白皮书,超越断言:一个创新的低功耗方法检查使用UPF值Tcl应用



留下一个回复


(注意:这个名字会显示公开)

Baidu