中文 英语
系统与设计
的意见

数字化内存设计和验证,加快开发周转时间

数字技术在存储器外围设计中的应用。

受欢迎程度

作者:阿南德·特鲁文加达姆,法尔津·拉斯特,普里蒂·杰恩,吉姆·舒尔茨

一些数字设计和验证工程师认为,他们在模拟/混合信号(AMS)芯片上工作的同事会嫉妒。毕竟,数字开发流程多年来一直享受着自动化程度提高和更高抽象级别带来的好处。人工实例化的设备和人工互连被逻辑综合和完全自动化的地点和路线所取代。SPICE运行和门级模拟几乎完全被自动生成验证测试的复杂的面向对象测试工作台所取代。虽然AMS设计工具也有了进步,但进展要慢得多。数字工程师假设他们的AMS同行想要一个更自动化的解决方案是可以原谅的。

在内存设计和验证的情况下,这个假设有很多道理。最近的创新导致了存储器开发流程关键部分的“数字化”。在最近的博客中帖子值得注意的是,对更多内存和更多特定于应用程序的内存芯片变体的需求给开发团队带来了巨大的压力。唯一的解决方案是将开发过程“左移”,包括采用在数字领域得到充分验证的设计和验证技术。虽然核心存储阵列继续使用传统技术开发,但阵列边界上的许多电路(超出感测放大器)比AMS更接近定制数字设计。将数字技术应用于外围存储器既合乎逻辑又实用。

存储器开发数字化的有效解决方案必须具备以下几个要素。数字设计环境和AMS设计套件必须紧密相连,在自定义设计环境中实现数字块在内存外围的无缝设计/放置/路由。一旦设计完成,外围逻辑的时间感知位置和路径既可以自动化传统的手工流程,也可以用集成流替换繁琐的分析循环。通过在放置和路由过程中考虑静态时序,必须更快且更可预测地收敛到内存所需的功率、面积和性能(PPA)目标。人类布局专家可以把时间花在核心数组上,而不是外围。

在验证方面,使用联合模拟和数字测试平台,数字顶部流可以有效地验证内存数据路径。通过使用内存数据路径的数字抽象,并在模拟过程中选择性地切换到关键块和时间段的模拟视图,可以大大提高数据路径验证的周转时间。这种混合流程还有许多其他好处,包括使用ams级噪声和信号完整性分析以及静态定时。其结果是整个存储芯片(包括核心阵列和外围逻辑)的签到质量描述、验证和验证。

Synopsys为存储器的设计和验证提供了完整、可靠的解决方案,包括流程关键阶段的数字化。数字和AMS部分的协同设计由Synopsys自定义设计家族和Synopsys数字设计家族提供。设计师可以在可能的情况下利用数字实现技术,同时不牺牲内存单元和传感放大器的手工优化布局。

使用Synopsys自定义编译器,放置和路由工程师可以定义存储芯片的平面图,然后手动放置关键单元或网络。其余的外围逻辑可以使用Synopsys Fusion Compiler或Synopsys IC Compiler II自动放置和路由。这在不降低QoR的情况下,将地点和路线时间从几天减少到几个小时。此外,时钟树合成自动化了传统上繁琐的时钟连接过程。当必须将工程变更命令(eco)应用于设计时,此步骤只需几分钟而不是几小时。此外,Synopsys正在与主要内存供应商密切合作,以确保时间感知的位置和路径过程将可靠性和老化影响考虑在内。

为了验证,Synopsys PrimeSim Continuum提供了下一代模拟技术的统一工作流程,从金标准SPICE到FastSPICE。PrimeSim-VCS联合仿真结合了模拟和数字模拟器,提供高性能的混合信号仿真。它还提供了在一个联合模拟中动态地来回交换数字和模拟视图的能力。这使得模拟运行速度非常快,只需在需要SPICE精度的模拟期间使用数字视图对块进行处理,并将其中一些视图与SPICE交换。这种功能称为实时视图交换(RTVS),为验证工程师在内存数据路径模拟期间在准确性和运行时速度之间取得平衡提供了最佳的灵活性。

内存开发团队一直被要求设计更复杂的芯片变种,他们只能通过将尽可能多的设计和验证工作转移到项目时间表的早期来满足苛刻的时间表。这些团队可以利用数字芯片的长期经验来自动化开发流程的关键部分,并将相同的技术应用于核心阵列之外的内存部分。Synopsys是这一数字化过程的领导者,提供所需的自动化,同时将其与核心使用的AMS设计和验证技术紧密联系起来。新的和新颖的存储器可以更有效地开发,消耗更少的资源,同时缩短上市时间。

Farzin rastes是Synopsys的首席应用工程师。

Preeti Jain是Synopsys公司的高级员工产品营销经理。

吉姆·舒尔茨是Synopsys公司的高级员工产品营销经理。



留下回复


(注:此名称将公开显示)

Baidu