白皮书

RT Kintex UltraScale fpga为超高吞吐量和高带宽的应用程序

这种耐辐射(RT)与可重构FPGA使下一代高通量卫星服务的有效载荷和前所未有的机载处理所有辐射轨道。

受欢迎程度

Xilinx FPGA的UltraScale架构扩展能力对于空间的应用程序,提供一个阶跃函数增加I / O和内存带宽、容量、性能、和在轨it。第一次RT Kintex UltraScale XQRKU060 FPGA使卫星产业获得超高吞吐量车载处理数以百计的Gb / s。此功能允许飞船运营商提供新的应用,如实时流超级高分辨率的地球观测遥感天基网络和宽带移动通信的能力来优化和重新部署有效载荷在轨资源以应对实时用户需求。

从其他供应商Space-grade fpga遭受建筑瓶颈严重限制他们使用超高通量的处理。Xilinx的RT Kintex UltraScale织物有创新的片上通信、I / O和内存带宽,DSP功能,时钟,关键路径,和互连,使用20纳米技术一流,asic档次最要求的卫星应用系统的性能。

点击在这里继续阅读。



留下一个回复


(注意:这个名字会显示公开)

Baidu