18.luck新利
白皮书

动态仿真构件的自定义检查,节能

使用统一的验证机制来访问目标设计的所有实例。

受欢迎程度

UPF值提供了一个强大的机制来定义一个自定义PA检查或断言并提供一层完全分离的设计规范。这是通过嵌入UPF值内的设计和检查程序的绑定文件通过bind_checker命令和选项。因此,它提供了一个统一的验证机制,允许,PA-SIM访问目标设计一个自定义的所有实例检查器在当前的范围内。

点击阅读更多在这里



留下一个回复


(注意:这个名字会显示公开)

Baidu