强大的新标准

第2部分:IEEE 1801版本允许使用中间相遇概念构建完整的功率感知流。但这些流动什么时候可用呢?

受欢迎程度

2015年12月,IEEE发布了最新版本的1801规范,标题为IEEE低功耗集成电路设计和验证标准,但大多数人都知道它是UPF或统一功率格式。该标准提供了一种指定与设计相关的功率意图的方法。有了它,设计人员可以定义设计的各种功能状态以及与这些状态相关的上下文。该信息可用于建模、验证和实现。第一部分研究了标准的历史、它的新功能以及对节能设计实践日益增长的关注。

新标准的一个更重要的方面是对权力意图进行连续细化的能力。虽然看起来这是为了自上而下的电子系统级(非母语英语课程流,它也是一个使能器知识产权行业。“IP供应商希望能够指定其IP的功耗意图的关键方面,而不预测低功耗实现细节,”alangibbons说Synopsys对此.“IP供应商开始考虑推出IP与统一电源格式而EDA供应商也在努力增强他们的工具以支持这种方法。现在还处于不断改进的早期阶段,以这种方式部署的商业IP还很少,但这种方法可能在未来几年获得更广泛的采用。”

其他人则对这种能力的采用率抱有希望。的解决方案架构师约翰•德克尔(John Decker)表示:“这将是一个真正的推动力,让人们能够更有效地交付IP。节奏.“这可能会是新规范中很快被采用的功能之一。有了终端边界的概念,它基本上是说,‘这就是构建块的目的,当你实例化它时,确保顶层理解它,并在顶层进行适当的隔离。’”

Preeti Gupta, RTL产品管理总监有限元分析软件是一致的。“我们希望通过这一标准看到更多的知识产权重用。任何能够通过电力限制进行IP切换的能力,以及能够建立一个集成了所有这些IP块的系统,都是我们想要实现的。”

它还将使人们更容易根据IP对特定应用的适用性来选择IP。“多年来,电力能力一直在IP的需求规范中,他们将其视为选择标准,”德克尔说。“在电源模型的开发方面还有很多工作要做,这样他们就可以轻松地插入他们的系统,以分析IP在该系统中的工作情况,但我们已经为区块提供了多种电源配置文件。”

工具推出
随着更新的标准启用了如此多的新功能,很快就会出现关于推出策略的问题,以及每个供应商和每个工具在组合完整流程时所需的足够功能的可用率。公司的首席技术官提出了采用的第一个障碍超音速,他问:“他们什么时候出版?”我一直在找,但它不在那里。我看过一份草稿,但那不足以让我做任何事情。”

丹尼斯·布罗菲,战略业务发展总监导师图形回复说:“规范已经批准,但还没有发布,我们正努力尽快完成。我希望DVCon能提供副本。”

温加德还对采用率提出了警告。“仅仅构建一种格式来捕捉信息并不能让模型出现,所以我们必须等到有足够多的客户要求有足够多的模型存在,然后一切才会起作用。这将继续是一个重大问题,但这是一个开始,打破了采用的障碍之一。”

可行的流程需要模型和一些必须升级的工具。“你可能想要考虑工具变得有权力意识模拟调试器,时钟域交叉形式验证-任何应该意识到改变行为的电力基础设施的工具,”Mentor的产品经理Ellie Burns说。“那些是在。验证世界。重要的是,整个工具链都具有功耗意识。”

似乎标准的开发人员已经从过去学到了一些东西,并以一种简化工具开发的方式构建了标准的新版本。“从UPF 1.0到2.0的过渡需要时间,因为一些概念发生了变化,”Ansys高级产品专家Arti Dwivedi指出。“例如,我们看到了从供应网到供应集的迁移。这需要方法上的改变。从2.0到3.0,过渡应该会更快,因为它们在概念和结构方面更加一致。”

其他人也同意。Gibbons补充说:“能够重用来自成熟、稳定标准的关键结构和原则,极大地简化了为系统级功率建模引入必要的UPF扩展。”“UPF 3.0现在为我们提供了在硬件和软件架构探索和系统级设计中以自顶向下的方式使用同一语言的能力,以及以自底向上的方式进行IP的低功耗实现和验证的能力。”

在许多情况下,为了创建一个流,需要升级几个工具,当我们考虑新的自顶向下的ESL流可用时,肯定会出现这种情况。“系统级的权力建模将需要时间来推向市场并让人们习惯,”德克尔说。“一些EDA供应商已经在他们的工具中启用了自底向上的流程,该标准确实确保了行业中的一致性,它们之间具有共同的外观和感觉。客户将在今年内使用它。系统级建模则要难得多。有少数人对此兴趣浓厚,但需求并不广泛。因此很难预测它何时会成为主流。”

虽然有一些客户极力要求这些新功能,但整个行业可能还没有完全接受。温加德说:“有一些人走在了前面,他们会乐于尽快使用它。”“接下来的群体包括那些与UPF没有太多接触的人,这是更大的社区。采用与否将取决于我们如何有效地为其他市场提供最佳实践、方法和流程,以便能够应用该技术。”

其他人则认为这项技术应用缓慢。德克尔说:“如果你看看今天的使用情况,你会发现,我们的大部分客户都在UPF 1.0的水平上编写代码,并添加了2.0的一些特性。”2.0规范发布于2009年,但客户仍然没有完全采用它。2015年的规范需要更长的时间。”

不仅是采用速度慢,而且实施也会减慢采用速度。“有很多EDA供应商还没有赶上UPF 2.2,”温加德说。“我的预测是,在实施层面必须做的一切都将在那里,而且离实施越远,实施就会越混乱。”

温加德还认为,标准发展的速度可能有点太快了。“我希望我们可以依靠UPF 3.0 (IEEE 1801-2015)。暂时放慢规范的修改速度可能是个好主意。”

然而,一些业内人士认为,该标准还没有完成。“更大的问题仍未得到解决,”该公司研发总监维诺德•维斯瓦纳特(Vinod Viswanath)表示真正的意图.“今天,当soc是针对特定应用程序进行优化的,这些优化是单独完成的,而不利用工作负载方面的知识。由于在电源管理方面缺乏硬件/软件合作,整个平台无法提前预测应用程序的电源需求,而是必须被动地执行电源管理。”

Mentor的Burns指出了一些与创建ESL流程相关的问题。“UPF是权力建模,它在生活中的目的是做功率控制,因此它是关闭和控制泄漏电流所需的基础设施。在ESL的世界里,我们必须控制泄漏和动态功率,所以他们需要有模型来实现这一切。”

一些工作正在进行中Accellera便携式刺激工作小组能够定义可用于驱动功率优化的场景,但他们还没有将功率视为其需求的主要驱动因素。“在工作负载级别,应用程序需要指定它有什么样的电源需求,”Viswanath说。“这转化为编译器级约束,然后是操作系统约束,一直到RTL和门级设计。”

刺激措施的出台只是问题的一部分。Ansys的Gupta说:“为了评估实时应用的功率,你需要能够接受大的矢量集,并能够对这些进行分析。“这可能需要一秒钟或更多的模拟数据。由于UPF能够实现系统级的功率,并能够引入活动载体,我们认为更多人将希望尽早估计这些活动应用的功率。”

Mentor和Ansys之间开发了一个接口模拟还有电力分析工具。Gupta说:“虽然这不是完全以upf为中心,但它与系统级功耗的联系方式很有趣,因为模拟器不必进行低功耗感知模拟。”“它不需要理解UPF的所有概念。它可以产生原始的活动,电动工具可以应用必要的约束。我们可以在系统层面进行假设分析,这也将导致与电源相关的设计决策。”

维斯瓦纳特看到了信息流动双向迁移的需求。“底层需要一直向操作系统和应用程序层提供信息,以便他们能够对硬件和工具流中的功率或热量级别做出响应,以进行优化和实现。我们需要一个约束求解器/功能管理器,能够将反馈与规范结合起来,从而生成下一组优化。”

问题的其他方面包括热量和包装。古普塔说:“总的来说,在功率建模方面还有很多工作要做,无论是在通用建模方面,还是在可与封装一起使用的模型方面。”“我们已经采取了在各个层次之间交换模型的方法,所有这些都是目前专有的Ansys模型。这方面的范围很大,但尚不清楚这是否会纳入UPF或其他范围。在电源建模和标准化方面还有很多工作要做。”

维斯瓦纳特完全同意。“热管理的目标是满足最大工作温度限制,同时保持性能要求。通常,热控制策略使用动态电压和频率缩放(DVFS)管理功耗,并且可以针对降低功率密度,因为它具有降低整体温度的效果。使用热控制策略动态电压和频率缩放(DVFS)通过将处理器转换到低功耗模式来冷却来避免违反温度界限,但显然这会导致性能损失。”

节能设计这可能是设计过程自早期开始以来最大的一次改革,许多难题仍有待解决。已经有几个标准组织参与其中,其中大多数组织中的多个小组都在研究其中的一小部分。在一天结束的时候,这个行业必须希望常识而不是政治是把所有这些粘合在一起的粘合剂,这样所有的碎片才能一起发挥作用。



1评论

凯文 说:

考虑到SystemVerilog仍然不能同时处理电线上的电流和电压,当人们声称修复了电源意图和验证时,我有点怀疑,房间里有太多大象在游荡。

留下回复


(注:此名称将公开显示)

Baidu