系统与设计
的意见

新一代分布式云上的静态时序分析

并行性是唯一的可伸缩的解决方案让任何应用程序更快。

受欢迎程度

日益增长的芯片大小和复杂性施压的每一步和每一个电子设计自动化(EDA)工具开发流程。更多的决策必须在建筑阶段,强调虚拟原型和高级模型。模拟变得缓慢和消耗更多的内存。形式验证努力实现充分证明。逻辑综合和布局都更难会议能力,性能,和区域(PPA)的目标。广泛的分析和批准的工具需要更长的时间才能完成,经常推迟tapeout多个运行时需要解决的问题。这并不令人吃惊,但涟漪效应的大小从大芯片与每个新一代生长和设计节点。

多年来,芯片开发人员可以依靠定期增加性能由于摩尔定律和计算平台运行EDA工具的增加速度。不再是这样;摩尔定律的放缓意味着必须使用其他的技术。当然,聪明的工具开发人员总是想出增强算法和数据结构更好地提高性能,减少内存消耗。但是,最终,并行性是唯一的可伸缩的解决方案让任何应用程序更快。今天,许多芯片开发流程中的步骤可以跨多个核心,多个cpu,甚至多个云计算服务器。最初进展缓慢,但近年来EDA并行化的步伐显著加快。

尽管有这些创新和改进,一些任务流中的证明更难分发。静态时序分析(STA)是一个局外人,不难看出为什么。许多步骤在芯片开发天然的并行性,可以利用工具开发人员。例如,模拟在本质上是平行的。断言倾向于使用局部变量,这样正式的分析往往只运行在整个芯片设计的一个小子集。分层逻辑综合和place-and-route可以分布,许多分析工具生成的布局可以运行在并行部分芯片。但是在STA的路径追踪可能横跨整个芯片,使分区并行分析的设计极具挑战性。传统上,全芯片和STA签收是运行在一个平坦的整个设计的网表。在大芯片,这需要的时间太长,只能运行一个非常昂贵的计算服务器安装了最大内存。与其他EDA工具,分区和并行性是唯一的选择,以满足当今设计的要求。

任何分布式STA云解决方案必须满足几个关键要求:

  • 设计分区必须是自动的,不需要手动操作或干预
  • 分布式STA工作必须使用相同的约束作为全芯片STA和设置文件
  • 分布式STA工作必须优化运行时减少时间分析和审核
  • 分布式STA工作必须在现有的云计算服务器高效运行
  • 必须没有妥协的准确性,特别是最后的计时结果

尽管这些需求设置一个单杠,幸运的是今天有解决方案满足他们。HyperGrid技术中Synopsys对此黄金时段静态时序分析工具提供了高质量的时机在云中签收,准确率达到了100%相比传统的平网表STA。它达到最大并行度通过全自动STA的细粒度的划分和分布在云中的服务器工作。这种方法产生一个加速的10 x和一个内存减少到10 x没有精度损失。除了加速STA和签收,并行性可以节省大量的钱,因为它是更便宜的多个较小的服务器上运行短期工作在云中而不是一个长期在单一服务器的最大内存。

易用性使快速、无痛的这个新技术的采用。自动分区和负载平衡消除任何需要用户手动分割设计,甚至提供指导。Synopsys对此黄金时段HyperGrid使用相同的约束和设置文件已经在传统平面全芯片Synopsys对此STA黄金档播出。它生成相同的时间报告,所以所有脚本和后处理步骤继续与不需要修改。Synopsys对此提供了所需的所有支持执行分布式STA公共云,包括建设集装箱码头工人和奇点包装工作,并使用诸如太阳的作业调度器网格引擎(白银),粘Workload Manager很多,OpenPBS。最近,Synopsys对此还宣布FlexEDA使用率来运行Synopsys对此黄金时段随需应变商业模式。

用户只需指定他们想设计分区的数量,并且Synopsys对此HyperGrid黄金档播出的休息。结果,由实践经验丰富的工程师在最近报道Synopsys对此用户组(舒适)事件,令人印象深刻。一个公司设计前沿的人工智能(AI)芯片使用Synopsys对此黄金时段HyperGrid与1.2 b设计实例。他们报告说,每个STA运行的时间降低了5 x 8分区,分区9 x 20,在一个平坦的网表。这两个分区的选择,内存减少了3倍和4倍,分别。这保存重要的项目资源,使快速STA迭代。

每个STA运行上的戏剧性的加速也反映在整个项目的结果。另一家公司展示在一个舒适的事件报道,每个STA运行降低了44%,大约2 x性能增益,STA所花费的总时间在项目进度下降了惊人的30%。这个数字说明了一切。Synopsys对此黄金时段以其独特的HyperGrid技术提供真正的并行,分布在云中STA和提供高价值的团队发展当今最先进的半导体器件。



留下一个回复


(注意:这个名字会显示公开)

Baidu