系统与设计
的意见

超越人类达到:设计目标更快会见AI-Driven优化

集成逻辑综合、地点和路线,和时间签收到单个步骤消除实现流中的惊喜。

受欢迎程度

半导体器件是实现流程优化,性能、面积(PPA),或者这些属性的组合。这个流动的历史在电子设计自动化(EDA)工具添加更多的自动化、收紧迭代循环,减少了迭代次数。目标是融合PPA目标更快的在使用更少的资源。

很容易勾勒出一个简短的历史。设计师用来展示他们的设计与矩形手动,然后画出图表自动地点和路线。引入硬件设计语言(高密度脂蛋白)如Verilog启用使用逻辑综合工具来自动化的设计阶段以及布局。

虽然速度和效率的主要进步,这个流仍有问题由于断开连接的步骤。逻辑综合工具可能做了出色的工作指定PPA的优化目标,但随后place-and-route工具可能无法匹配结果。在许多情况下,一个独立的可支应计时工具签收也比预测的逻辑合成会产生不同的结果。

这些问题要求设计师回去调整合成参数,再试一次。这通常导致了许多手工迭代的设计以及使用悲观利润率来容纳惊喜在布局和时机的签收。结果是一个非常低效的流程和结束与次优设计PPA属性。

后世这些工具的改善情况。分歧可以减少,如果合成工具是layout-aware或者能够链接到一个布局引擎最终检查之前将全面布局。同样,布局工具与签收时间分析可以帮助关闭差距收敛。

今天可用的解决方案,采用这样一个流是不够大,复杂的设计在深亚微米技术流程节点。实现过程是迭代的,与多个有损交接,而且还消耗了太多的宝贵的人力资源。解决这种情况下需要两个关键额外的创新:这三个工具的集成到一个单独的外壳在hyperconvergent流和AI-driven设计实现。

集成逻辑综合、地点和路线,和时间签收到单个步骤消除实现流中的惊喜。如果一个特定的设计布局优化是会导致问题,或者一个特定的网络路由将打破时间,集成壳“知道”这和主动就可以避免这个问题。这显然可以节省时间和资源,但它也提高了PPA结果通过消除需要悲观的利润率。

人工智能技术提供福利的所有部分实现流量通过自动探索可用选项,利用项目历史,以最少的人力和自主PPA目标融合的努力。

Synopsys对此提供了一个AI-driven设计实现解决方案利用其设计空间优化AI (DSO.ai)技术和Synopsys对此融合编译器RTL-to-GDSII实现系统。独特的单壳实现流确保AI-driven优化可以依靠收敛RTL-to-GDSII流提供一致的和可靠的反馈有效探索数以万亿计的可能性,而不需要管理各种传递和多个工具之间的差异。

由AI-driven探索改进的关键在于优化设计的本质,这依赖于启发式。没有一个启发式,甚至一组启发式,对每一个设计是最好的。EDA供应商选择提供最好的整体结果的启发式在所有的设计。通常有几十个更多的选项隐藏在每个工具为经验丰富的现场应用工程师利用特定的设计,但用户探索这些选项手动不是可行的由于时间,资源,和专业知识的局限性。

特别是逻辑综合。在布局阶段可用的自由度相对有限,因为盖茨及其互连已经固定。在合成、AI-driven优化可以使架构级决策,可以提高PPA结果由两位数。

使AI-driven优化在合成有显著的效率优势。由于RTL-to-GDSII全流实现人工智能,知识从一个设计步骤可以无缝地、有效地利用所有后续的设计步骤,收紧设计空间进行优化,可以导致加速time-to-results在使用更少的计算资源。基于最近的一个案例,一个AI-driven路线优化步骤可以减少10倍计算而实现相同的PPA和time-to-results目标当一个学习数据库的逻辑合成阶段。

知识也救了这这些知识可以用在后续运行导数或高度相关的设计,改善AI-driven优化的有效性和效率。这个解锁PPA优化从未被人类探索的机会,不管时间,设计师不需要跟踪的设置效果最好。

在学习数据库无法轻易跨不同的公司共享,人工智能与人工智能配置和人类经验。通过各种类型的累积AI-driven优化练习,DSO。ai提供一组SpaceWare应用程序(应用程序)等执行常见的优化流程,图书馆,权力,平面布置图,电压与开箱即用的成功。客户演示wordwide舒适的事件记录这些应用程序交付面积减少15%,能耗降低20%,25%更快的操作频率大范围的设计风格和流程节点。

集成工具的独特组合在hyperconvergent流和部署人工智能技术的逻辑综合和布局提供所需的解决方案的实现当今最先进的芯片设计。这是一个区域的快速创新,与更多的客户每天的结果报告。有关会议的所有最新的信息与AI-driven PPA目标优化,请出席午餐面板“人工智能是如何驾驶EDA的下一个创新浪潮”和相关跟踪2023年舒适的硅谷。可以找到详细的信息是可用的在这里



留下一个回复


(注意:这个名字会显示公开)

Baidu